Related by context. All words. (Click for frequent words.) 54 EDA tools 54 ESL synthesis 54 algorithmic synthesis 53 ChipVision Design Systems 53 System Verilog 53 ARM#T processor 52 AccelChip 52 Bluespec 52 CellMath Designer 52 Cynthesizer 51 Reference Methodology 51 level synthesis HLS 51 Lattice FPGAs 51 ARM AMBA 51 SystemC synthesis 51 Sequence Design 51 ChipVision 50 Synplify DSP 50 RealView ® 50 Electronic Design Automation 50 Actel Fusion 50 Consortium EDA Consortium 50 PICO Express 50 computational complexity 49 structured ASICs 49 CustomSim 49 SystemVerilog 49 ConvergenSC 49 OpenAccess database 49 CoFluent Studio 49 QoR 49 PowerPro MG 49 Encounter RTL Compiler 49 VHDL AMS 49 Arteris NoC 49 Docea Power 49 Verification Methodology Manual VMM 49 manufacturability DFM 48 Calibre nmDRC 48 Cortex M0 processor 48 Cadence Encounter digital 48 GZIP compression 48 Kaminario K2 48 RapidIO interconnect 48 PeakView 48 aCelera software 48 dielectric etch 48 #nm FPGAs 48 Altera Quartus II 48 #K CPS 48 IBIS AMI 48 EDA vendor 48 ARC configurable 48 ASIC prototyping 48 NASDAQ ARMHY 48 Stratix II GX 48 CMOS scaling 48 PowerTheater 48 Nangate 48 CellMath 48 ThinkCAP JX 47 SystemC 47 Optigo 47 Multiprocessor 47 DDR NAND 47 FPGA ASIC 47 SiliconSmart ACE 47 PSpice 47 partial reconfiguration 47 Arithmatica 47 QuickCap NX 47 HSIM 47 results QoR 47 Catapult C 47 Power Format UPF 47 MSC# [001] 47 NVIDIA CUDA parallel 47 kit PDK 47 Lorentz Solution 47 Silicon Realization 47 Serial RapidIO IP 47 SystemC modeling 47 MathStar FPOA 47 STARCAD CEL 47 symmetric multiprocessor 47 Verilog AMS 47 ISE #.#i 47 ArchPro 47 OneSpin Solutions 47 Blackfin Processors 47 Ciranova 47 M3G 47 Reference Flow 47 logic synthesis 47 PyCell 47 deep submicron 47 improves manageability 46 NeoCircuit 46 Synplify Premier 46 breakthrough Structured ASIC 46 analog IC 46 ASIC SoC 46 ScanWorks platform 46 Atmel CAP 46 NVIDIA SLI ™ 46 CAPEX OPEX 46 SoC Designer 46 UMC #nm 46 FineSim SPICE 46 SiliconSmart 46 Precision Synthesis 46 CellMath IP 46 FineSim Pro 46 Altera FPGAs 46 CoWare ESL 46 Jasper Design Automation 46 ARM# MPCore processor 46 StarGen 46 DFM DFY 46 boundary scan 46 SpyGlass Power 46 DFT MAX 46 Configurable Logic 46 Magma Talus 46 SOPC Builder 46 SPICE simulation 46 ARM#EJ processor 46 Simics 46 CircuitSpace 46 Quartus II 46 CebaTech 46 Cadence Virtuoso 46 equivalence checking 46 ZenTime 46 unparalleled scalability 46 Synplify Pro 46 multicore debugging 45 GDSII reference 45 StarRC Custom 45 Incisive Formal Verifier 45 Embedded Microprocessor 45 Mechanical Computer Aided 45 Design Compiler topographical 45 NanoTime 45 Mitrion Platform 45 multicore CPUs 45 Virtutech Simics 45 Link A Media 45 HIT Kit 45 high-k/metal gate 45 modeling TLM 45 Cadence Silicon Realization 45 Autosar 45 virtual prototyping 45 routability 45 TeraChip 45 NanoSim 45 HSPICE 45 Analog FastSPICE Platform 45 Ziptronix 45 Cadence Virtuoso custom 45 Synfora 45 EDA vendors 45 Stratix II FPGAs 45 SystemC AMS 45 Open SystemC Initiative 45 VLSI 45 AMCC QT# 45 testability 45 FPGA synthesis tools 45 FPGA Supercomputing 45 Synplify 45 VTOC 45 Multiprocessing 45 Assertion Based Verification 45 Altia Design 45 RoCE 45 Certess 45 Encounter Timing System 45 TestKompress 45 QuickCap 45 GoAhead 45 parallelization 45 Initiative ISMI 45 FloEFD 45 Event Driven Architectures 45 Melfas 45 OSCI 45 SOAPscope 45 Formal Verification 45 iMB 45 Esterel Studio 45 MSP# MCU 45 Qcept 45 AXIe 45 Customizable Microcontroller 45 Global Unichip 45 Incisive ® 44 NuCORE 44 VECTOR Express 44 SoC verification 44 inSilica 44 PCIe interconnect 44 reconfigurable computing 44 mask reconfigurable 44 IC Compiler #.# 44 USB PHY 44 String Ribbon uses 44 deep sub micron 44 CEVA Teak 44 CoWare Platform Architect 44 Clear Shape 44 .# micron 44 Silicon Navigator 44 ATopTech 44 MCAE 44 ASSET ScanWorks 44 Frank Schirrmeister 44 reconfigurable hardware 44 Novas Software 44 wafer thickness 44 NeoBatch 44 Synplicity Synplify Pro 44 structured ASIC 44 parasitic capacitance 44 Fast SPICE 44 embedded EEPROM 44 Nexsys 44 IP Cores 44 multithreading capabilities 44 design kits PDKs 44 Mentor Graphics Catapult 44 Ext4 file 44 Actel ProASIC3 44 Arasan Chip Systems 44 nanometer nm NAND flash 44 toolsuite 44 Z RAM 44 Holographic Versatile Disc HVD 44 testbenches 44 DSP algorithm 44 Design Compiler Graphical 44 RTL Compiler 44 Zatara TM 44 Synphony HLS 44 SiliconBlue 44 ActiveDesign 44 VMM methodology 44 Zenasis 44 EDA 44 Databahn 44 serdes 44 VHDL 44 MIFARE4Mobile 44 NexusRoute HPC 44 Cadence Encounter Digital 44 customizable dataplane processor 43 computational lithography 43 OpenDFM 43 Advanced Switching 43 interoperable PDK 43 H.# Scalable Video Coding 43 customizable dataplane processors 43 FPGA DSP 43 lithography simulation 43 DFI specification 43 JasperGold 43 transistor leakage 43 Optimizations 43 Analog Mixed Signal 43 ARM RealView 43 SynTest 43 vSTOR S# 43 NASDAQ LAVA 43 Silicon Compiler 43 FPGA prototyping 43 Vic Kulkarni 43 Altera Stratix II 43 IEEE #.# [002] 43 mTouch 43 SiSoft 43 EDA# 43 interprocessor communications 43 Synopsys Nasdaq SNPS 43 Silicon CMOS Photonics 43 Handshake Solutions 43 Cadence Mentor 43 #.#μm [002] 43 SPIRIT Consortium 43 AMS Reference Flow 43 TMS#C#x + 43 #nm immersion lithography 43 eASIC 43 embedded processor cores 43 multicore CPU 43 SIwave 43 Solido Design Automation 43 ZMD AG 43 multicore 43 parametric yield 43 BitWave 43 silicon oscillator 43 FineSim 43 QuickLogic Corporation 43 compute intensive tasks 43 OCTEON Plus processor 43 fpgas 43 AMBA specification 43 multicore architectures 43 WLCSP 43 x# server virtualization 43 smaller geometries 43 SonicsMX 43 OMAP ™ 43 Solido Design 43 OpenAccess Coalition 43 Virident Systems 43 geometry shaders 43 Talus Vortex FX 43 Synopsys DesignWare ® 43 programmable logic 43 SIGMA C 43 SOC designs 43 Kathryn Kranen 43 Synphony 43 IEEE #.# JTAG 43 Synopsys DesignWare IP 43 heterogeneous multicore 43 Cadence Encounter 43 Cilk Arts 43 Nios II processor 43 MTCMOS 43 Simon Davidmann 43 MPSoC 43 Verific 43 Silicon Metrics 43 Tela Innovations 43 CMSIS 43 #.#um CMOS 42 Qsys 42 FPGA 42 GateRocket 42 DCG Systems 42 SwitchCore 42 Siliconaire 42 Inapac 42 NexFlash 42 QuickVision 42 Aquantia 42 FPGA synthesis 42 RealTime Designer 42 ALTERA 42 GoldTime 42 Quadros Systems 42 PCB layout 42 CriticalBlue Prism 42 Magma Titan 42 Xilinx FPGA 42 DesignVision Award 42 deviceWISE 42 RapidIO 42 Nasdaq QUIK 42 Virtuoso Accelerated Parallel Simulator 42 IP XACT 42 Solarflare Communications 42 Mentor Graphics Calibre 42 Cadence Incisive 42 Active EDW 42 Xoomsys 42 nonvolatile memory NVM 42 8GFC 42 Genesys Logic 42 AMBA Designer 42 OCP IP 42 ASIC ASSP 42 FPGA designers 42 CHiL Semiconductor 42 Denali Blueprint 42 PLDs 42 Jaluna 42 Innovative Silicon 42 Xilinx Spartan 3A 42 GPGPU computing 42 PowerCentric 42 ZMDI 42 HellaPHY 42 SiliconPipe 42 Mixed Signal IC 42 PLX Technology 42 SmartQuotas 42 Non Volatile Memory 42 MIPS cores 42 design automation EDA 42 xTCA 42 Rapid Render 42 Analog IC 42 Electronic Dispersion Compensation 42 Daya Nadamuni 42 scales linearly 42 mobileFPGA devices 42 external EEPROM 42 Verisity 42 abstraction 42 hepatic insulin sensitivity 42 ANSYS POLYFLOW 42 CoWare 42 Stratix IV FPGA 42 Tharas Systems 42 iPDK 42 HyperTransport Consortium 42 Accellera 42 Artisan Components 42 Parasitic Extraction 42 Toggle DDR 42 DesignVision award 42 Pulsic 42 Virtium Technology 42 SGI RASC 42 PHY IP 42 ACTL 42 Analog FastSPICE 42 MS#A 42 Parallel Engines 42 Synopsys Galaxy 42 DesignWare IP 42 Atul Sharan 42 Synopsys VCS 42 Agilent ADS 42 SoC 42 GridIron XLR8 42 TriCN 42 Heat Dissipation 42 HLNAND 42 Ubicom 42 HP NonStop server 42 OpenPDK Coalition 42 ARM LSE 42 SOI Consortium 42 Zeligsoft 41 Nasdaq MENT 41 ASMedia 41 electromagnetic simulation 41 respins 41 Cadence Allegro 41 Novelics 41 Level Synthesis 41 datapath 41 coprocessing 41 Mixed Signal Design 41 Multicore Association 41 Very Large Scale 41 Chris Malachowsky 41 Rejustor 41 Imperas 41 Silicon Dimensions 41 Verilog 41 chip SoC designers 41 Lavastorm Analytic Platform 41 ModelSim 41 ANSYS NASDAQ ANSS 41 Ralph von Vignau 41 Precision RTL synthesis 41 Chelsio Communications 41 Magma Design 41 Magma r 41 CriticalBlue 41 insulator SOI technology 41 5x #x 41 ReadyIP 41 SPICE simulators 41 accuracy repeatability 41 geometries shrink 41 Pintail Technologies 41 Mentor Graphics ModelSim 41 SSE4 instructions 41 code refactoring 41 HKMG technology 41 ModViz 41 RapidMind platform 41 Talus Vortex 41 Tundra Semiconductor 41 Tessera Technologies 41 Virtual Platforms 41 AFS Platform 41 SiP 41 Multi Threaded 41 Arteris 41 Physical Verification 41 Multicore processors 41 Altium Designer 41 nanoPHY IP 41 Tessent 41 Pyxis Technology 41 SchmartBoard 41 Structured ASIC 41 Sitecore Intranet Portal 41 nanometer node 41 ADLINK Technology 41 Architecture ESA 41 HiSilicon 41 raytracing 41 AutoESL 41 query optimizer 41 IBIS AMI models 41 Nasdaq IDTI 41 VSI Alliance 41 GOEPEL 41 Oxide Silicon 41 TSMC Reference Flow 41 Strained silicon 41 #/#nm 41 Monolithic System 41 Inphi Corporation 41 PowerTrim technology 41 SoC designs 41 Spartan 3AN 41 Magillem 41 Giga Scale IC 41 Asset Lifecycle Management 41 Lynguent 41 STARC 41 Zenverge 41 JEDA 41 Xilinx ISE 41 DesignSync 41 RTL synthesis 41 PRNewswire FirstCall Synopsys 41 Real Intent 41 HDL Designer 41 Credence Systems Corporation 41 ARM MPCore technology 41 manufacturability 41 Magma RTL 41 RF CMOS 41 S2io 41 Simucad 41 SMIC #nm 41 Nascentric 41 Tharas 41 synthesizable IP 41 ASIC Architect 41 Nios processor 41 PowerQUICC 41 tapeout schedules 41 lowering TCO 41 Artisan Components Inc. 41 TransGaming portability technologies 41 Umetrics 40 RulesPower 40 XLP Processor 40 InCyte 40 #/#/# TSMC 40 Cadence DFM 40 Conformiq 40 abstraction layer 40 UNTERPREMSTAETTEN Austria Business Wire 40 Averant 40 WiCkeD 40 AFS Nano SPICE simulator 40 Rabbit Semiconductor 40 SoC Encounter 40 RF IC 40 Nasdaq ARMH 40 Nasdaq ACTL 40 ISE Design 40 EM simulation 40 #.# micron node 40 Simulation Module 40 PIC# microcontrollers 40 NASDAQ MENT 40 supermarkets supercenters department 40 6WIND 40 complex SoC designs 40 fabless IC 40 Excess sodium 40 Xelerated 40 Mentor Questa 40 Nasdaq ALTR 40 TCP optimization 40 Calibre xRC 40 NASDAQ LSCC today 40 eX5 servers 40 Farhad Hayat 40 Blaze DFM 40 NeoKicks 40 Nimcat Networks 40 OpenEmbedded 40 ASICs 40 d2e Vision 40 OpenAccess 40 Shrenik Mehta 40 middleware shortens 40 GDSII 40 PRNewswire FirstCall Novellus Systems 40 Integration VLSI 40 IP Infusion 40 Star RCXT TM 40 Modularity 40 interconnect capacitance 40 mixed-signal/RF 40 CDNLive 40 SCOTT TRAVIS covers 40 Aeluros 40 Ekahau Vision 40 manageability scalability 40 #/#-bit embedded RISC microprocessor 40 SoC Realization 40 Nasdaq SMMX 40 AFS Nano 40 Adopts Cadence 40 Mitrionics 40 5 LXT 40 Optichron 40 AnswerFast Plus 40 Fabless 40 CPLD FPGA 40 Design Methodology 40 extracellular calcium 40 QueCloud 40 Products Nasdaq MXIM 40 fabless foundry 40 UXPi 40 ASIC FPGA 40 Luminescent Technologies 40 Structured ASICs 40 Sarance 40 tapeout 40 CHiL Semiconductor Corporation 40 Gradient Design Automation 40 V#R# [003] 40 RedHawk SDL 40 Themis Computer 40 Xpedion 40 IP cores 40 arrays FPGAs 40 #i#i 40 Calypto Design Systems 40 Xtensa processor 40 iCHECK 40 Active HDL 40 RDBMSs 40 Xilinx FPGAs 40 5 FXT FPGAs 40 ACM SIGDA 40 Ambric 40 GigaChip Alliance 40 Netlogic Microsystems 40 Autonomic computing 40 radically simplifies 40 Mindspeed Technologies 40 TSMC AMS 40 GiDEL 40 FPGA CPLD 40 5X faster 40 Stratix IV GX FPGA 40 Hier Design 40 Force# S# [002] 40 iVivity 40 LSI Logic RapidChip 40 #nm SoC 40 Amorfix utilizes 40 SoC designers 40 Cosmic Circuits 40 Object Relational Mapping 40 Altera Stratix III 40 DongbuAnam 40 Variation Designer 40 RFEL 40 Corporation Nasdaq NMGC 40 #GBASE T PHYs 40 Custom Designer 40 gastric pH 40 HardCopy ASIC 40 Snowbush IP 40 Neolinear 40 Rahm Shastry 40 Star RCXT 40 MorethanIP 40 merely colorable 40 fabless ASIC 40 Synopsys DesignWare 40 CMOS foundry 40 '#E 40 CoFluent Design 40 NASDAQ CDNS 40 uniquely architected 40 Nasdaq VLTR 39 Folic acid supplementation 39 Synopsys DFM 39 QThink 39 serial backplane 39 PowerQUICC III processors 39 Docea 39 APTIP 39 HARDI Electronics 39 DevRocket 39 Silicon Clocks 39 PRoC 39 Hyland OnBase 39 #nm LL 39 DynaMate 39 RTL simulation 39 Eric Filseth 39 BRIEF RESEARCH ALERT Panmure 39 VCMP 39 Reflex VSC 39 Radio Frequency Integrated Circuits 39 Sandburst 39 magnetoresistive random access 39 NASDAQ MIPS 39 Memory Interface 39 Silistix 39 Access Acceleration Sequencing 39 Acsera 39 structured Asic 39 Embedded Processor 39 Autodesk NASDAQ ADSK 39 CMOS MEMS 39 TMS#C#x TM 39 LongRun2 39 Omega Semiconductor 39 ElectricAccelerator 39 Sigrity 39 GigaChip Interface 39 Jue Hsien Chern 39 Netronome 39 runtimes 39 Optical Amplifier 39 BMC BSM platform 39 OpenIB 39 Gavrielov 39 OAGi 39 intelligently integrates 39 Kilopass Technology 39 Ciranova Helix 39 Unimicron Technology 39 InPA 39 nSys 39 InfiniCon 39 Fabless ASIC 39 Mentor Graphics 39 ETMemory 39 Efficeon processor 39 Synopsys 39 Magma Design Automation 39 nanometer NAND 39 Ignios 39 ESL Synthesis 39 Etron 39 SerDes 39 manufactures integrated circuits 39 Oracle BPEL 39 COSMOSDesignSTAR 39 NASDAQ TIBX 39 Tezzaron 39 dose pravastatin 39 spatial temporal reasoning 39 ScanWorks 39 nitrotyrosine 39 Encounter Conformal Constraint Designer 39 EZCH 39 ORBexpress RT 39 iRCX 39 siXis 39 FlexVol 39 VeriSilicon 39 #nm/#nm 39 biopsied breast 39 Synplicity Synplify 39 Unify NXJ 39 Expand WAN optimization 39 POJO 39 Samplify Systems Inc. 39 RedHawk NX 39 field programmable gate 39 DPOJET 39 FY# adj 39 Nasdaq CY 39 SmartSpice 39 mouth larynx esophagus 39 NASDAQ ALTR 39 Consumer B2C eCommerce 39 SystemRDL 39 Invarium 39 Verisity logo 39 laser spike anneal 39 adaptivity 39 Calypto ® 39 Novellus Nasdaq NVLS 39 Oracle Exadata V2 39 #LP process 39 Altos Design Automation 39 serum uric acid 39 TSMC foundry 39 PrismX 39 SigmaSure 39 Hybricon 39 multicore SoC 39 Geomagic Fashion 39 SOA enablement 39 NXJ Developer 39 Cimetrix 39 IDMs 39 Silterra Malaysia Sdn 39 RF Engines 39 Silicon Oxide Nitride 39 maximizes uptime 39 PolyCore Software 39 homocysteine concentrations 39 Camstar Enterprise Platform 39 Actuate Performancesoft Suite 39 Cadence QRC Extraction 39 #nm #nm [005] 39 Elevated CO2 39 #/#-nanometer 39 Double Patterning 38 Enuclia 38 XJTAG 38 dramatically simplifies 38 TSMC #nm [001] 38 Silego 38 SYNOPSYS 38 Design Automation Nasdaq LAVA 38 dPollution revolutionary device 38 Syntricity 38 ISi 38 WANJet 38 PathScale Compiler Suite 38 Denali Databahn 38 nitrous oxide NOx 38 jitter measurement 38 Lightspeed Logic 38 productization 38 Logic PD 38 Corp. MENT 38 bursty traffic 38 Sofics 38 DRC LVS 38 InfoSphere Streams 38 PVSW 38 Value Chain Producer VCP 38 NASDAQ MLNX TASE MLNX 38 RuleStream 38 NIAP certification 38 backside illumination BSI 38 iRCX format 38 HSPICE ® 38 RapMAT 38 GeForce 8M 38 FPGAs 38 TopBraid Suite 38 Fleximer R 38 practices Piszel 38 ASX ALU 38 Solido Variation Designer 38 Cirrus Logic Nasdaq CRUS 38 de Montcheuil 38 Evatronix 38 multi threaded applications 38 nanoimprint 38 Apache RedHawk 38 RapidChip 38 Artimi 38 Gaisler Research 38 vastly simplifies 38 microelectronic device 38 Magwel 38 Cadence Mentor Graphics 38 XMOS 38 Service Oriented Architectures 38 componentization 38 MunEDA 38 Process Modeler 38 Sarance Technologies 38 direcTouch 38 PIC microcontrollers 38 EDN Magazine 38 Europractice IC Service 38 jitter attenuation 38 Vic Kulkarni Sequence 38 NASDAQ ATYT TSX ATY 38 VSIA 38 FastScale Stack Manager 38 Analog ICs 38 Creo Elements Pro 38 MOSIS 38 Netuitive SI 38 Jennic 38 MegaChips 38 NYSE TSM 38 SiTime 38 embedded SerDes 38 FireStorm DAO 38 LatticeECP3 ™ 38 Cadence Design Systems 38 ChipStart 38 LTX Corporation 38 '#E EPS 38 SpringSoft 38 Fiorano ESB 38 LSI LSI 38 ViaLink 38 HDS arrays 38 Permabit Technology 38 Virtutech 38 Arteris NoC solution 38 GTronix 38 NASDAQ MCHP 38 StarPound 38 delipidation 38 LX#T FPGA 38 SEMATECH 3D 38 Mobiliti 38 Analog Bits 38 IC packaging 38 #nm Virtex 38 Luxtera 38 BRIEF RESEARCH ALERT Numis 38 Aarohi Communications 38 Planview OpenSuite 38 shorter timeframes 38 EP#C# device 38 Excalibur Litho 38 ST Juang senior 38 Davidmann 38 NYSE FSL FSL.B 38 thermal foldback 38 fabless chip 38 Multicore Expo 38 Primarion 38 disclosing chicanery 38 GaN RF 38 AEO# reference case 38 Duolog 38 optical lithography 38 interrupt latency 38 Cavium Networks NASDAQ CAVM 38 iPDKs 38 Unique Memec 38 Business Wire Cypress Semiconductor 38 foundries IDMs 38 CoReUse 38 lowers 38 Calypto 38 QualCore Logic 38 #bp QoQ 38 DVCon 38 TRITON architecture 38 Simulation Driven Product 38 Agilent GoldenGate 38 XTS AES 38 RF Microwave 38 Photomask 38 VisualOn 38 synchronous Ethernet 38 Europractice 38 SmartSource effectively 38 Alchip 38 TWD#.# [003] 38 eRM 38 GridPoint Platform 38 Intelli DDR3 38 EDA# vision 38 frontside bus 38 Xilinx 38 repeatable measurements 38 VMware ESX 3i hypervisor 38 #mm ² [001] 38 ProActivity 38 Fairchild Semiconductor NYSE 38 SRAMs 38 Carbon Coder ™ 37 Wafer shipments 37 BittWare 37 TZero Technologies 37 Sitaram Arkalgud 37 Synplicity ESL synthesis 37 Analogue Design 37 Adexa 37 SVTC Technologies 37 eZ# RF# 37 MIPS 37 TCAD Sentaurus 37 PCI Express 37 RF RDK 37 RBP4 levels 37 virtualized WAN optimization 37 OneNAND memory 37 SCOPE Alliance 37 EEPROM emulation 37 Impulse Accelerated Technologies 37 Software Defined Radio 37 CMOS 37 popular Hawker Beechjet 37 Bluejet 37 Sleepycat Berkeley DB 37 EUVA 37 Broadcom Completes Acquisition 37 object relational