Related by context. All words. (Click for frequent words.) 71 #/#nm 70 HKMG technology 70 #nm CMOS [001] 68 #nm silicon 68 GLOBALFOUNDRIES #nm 68 TSMC #nm [001] 67 k dielectrics 67 #nm [001] 65 high-k/metal gate 65 #nm immersion lithography 65 #.# micron CMOS 65 SiON 65 nm CMOS 65 #nm node [001] 65 k gate dielectric 65 #LP [002] 64 k gate dielectrics 64 k dielectric 64 TSMC #nm process 64 high-k/metal-gate 64 nickel silicide 64 LDMOS 64 RF CMOS 64 nanometer nm 63 deep sub micron 63 CMOS logic 63 SiGe 63 CMOS transistors 63 UMC #nm 63 nanometer node 63 structured ASICs 63 MOS transistors 63 FinFET 63 FDSOI 63 #nm SOI 62 #.#μm [002] 62 nanometer silicon 62 HEMT 62 Metal Gate HKMG 62 BiCMOS 62 planar CMOS 62 Electron Mobility Transistor 62 MOS transistor 62 metal gate HKMG 62 PowerTheater 62 pMOS 62 smaller geometries 61 #nm CMOS [002] 61 voltage CMOS 61 nm SOI 61 ARM#EJ S processor 61 PHEMT 61 ARM#EJ processor 61 silicon germanium SiGe 61 LDMOS RF power 61 gate dielectrics 61 DongbuAnam 61 #.#μm CMOS process 61 Stratix III 61 pHEMT 61 SiGe bipolar 61 Cortex M0 processor 61 insulator SOI 61 FUSI 61 #nm FPGAs 61 structured ASIC 61 BiFET 61 #nm/#nm 60 nanometer CMOS 60 CMOS processes 60 K dielectrics 60 Insulator SOI 60 #.#μ 60 #.#um [002] 60 threshold voltages 60 JFET 60 nMOS 60 nm CMOS process 60 CMOS 60 #.# micron node 60 #nm RF CMOS 60 CMOS fabrication 60 #nm nodes 60 low k dielectrics 60 ArF immersion lithography 60 SOI CMOS 60 #nm DRAM 60 #nm SoC 59 High Voltage CMOS 59 Cadence Encounter Digital 59 nm nodes 59 RTL synthesis 59 HardCopy II 59 silicon 59 SoC designs 59 #.#u 59 deep submicron 59 oxide semiconductor 59 CMOS oscillators 59 BGA packaging 59 multichip 59 Encounter RTL Compiler 59 GaN HEMT 59 gate dielectric 59 CMOS compatible 59 Structured ASICs 59 FPGAs 59 RFMD GaN 59 SiliconBlue 59 #nm SRAM 59 PolarPro 59 gate electrode 59 5V CMOS 59 Stratix II 58 #nm HKMG 58 Silicon Germanium 58 oxide thickness 58 FinFETs 58 DrMOS 58 computational lithography 58 fpgas 58 silicon germanium 58 Actel Fusion 58 transistor scaling 58 Stratix III FPGAs 58 MAX# integrates 58 copper interconnects 58 high voltage BCDMOS 58 planar transistors 58 ARM#JZF S processor 58 TQP# 58 #/#-nanometer 58 laterally diffused metal 58 .# micron 58 RFCMOS 58 #nm #nm [005] 58 MOSFETS 58 InGaP HBT 58 TSMC #nm LP 58 CMOS silicon 58 RRAM 58 RTL Compiler 58 BCDMOS 58 Reference Methodology 58 CMOS IC 58 Complementary Metal Oxide Semiconductor 58 dielectric etch 58 Schottky diode 58 Stratix II FPGAs 58 silicon oxynitride SiON 57 bipolar transistors 57 ASMBL architecture 57 FPGA fabric 57 eASIC 57 nm FPGAs 57 tapeouts 57 hafnium oxide 57 CellMath IP 57 deep submicron CMOS 57 Flexfet 57 Cadence Encounter 57 Cortex M3 processor 57 nanometer 57 #nm node [002] 57 GaN transistor 57 transistor leakage 57 LPDDR2 57 Spartan 3A 57 SoC 57 GaN HEMTs 57 ARM# MPCore processor 57 Gallium Arsenide 57 #.#um CMOS 57 eDRAM 57 SiGe C 57 #nm fabrication 57 chip SoCs 57 DDR PHY 57 UltraCMOS 57 #nm nanometer 57 Structured ASIC 57 Mbit SRAMs 57 nm DRAM 57 Altera Stratix III 57 MPC#E processor 57 Cortex A9 processor 57 GaAs MESFET 57 ATopTech 57 immersion lithography 57 SiGe BiCMOS 57 defect densities 57 AEL# 57 HVIC 57 Gallium Nitride 57 SiC 57 transistor 57 spiral inductors 57 dielectrics 56 Elpida #nm 56 Spartan 3E 56 SIMOX 56 2Gb DDR3 56 InGaP 56 FabEX 56 #.#μm CMOS 56 Flex OneNAND 56 Actel ProASIC3 56 nm 56 monolithic microwave integrated 56 programmable SoC 56 GaN transistors 56 MirrorBit technology 56 insulator SOI technology 56 #nm 1Gb 56 #.# micron SiGe 56 PowerTrim 56 DFM DFY 56 GaAs HBT 56 TSVs 56 SiP 56 nm SRAM 56 gallium nitride GaN 56 Field Effect Transistor 56 multicore architecture 56 package SiP 56 nm node 56 graphene transistors 56 BCSM# 56 LSA#A 56 leakage currents 56 Efficeon TM# 56 C# DSP 56 Zroute 56 SiC MOSFET 56 Intel Core microarchitecture 56 PWRficient 56 6T SRAM 56 SiliconSmart 56 FineSim Pro 56 Clear Shape 56 InP 56 UMC #.#um 56 STT RAM 56 HardCopy 56 eWLB technology 56 Actel IGLOO 56 #nm FPGA 56 QuickCap NX 56 silicon etch 56 SPICE simulator 56 PLDs 56 QRC Extraction 56 nanometer nm CMOS 56 NOVeA 56 CMOS wafer 56 silicon germanium SiGe BiCMOS 56 wafer thickness 56 J#Ex 56 #nm transistors 56 electron mobility 56 geometries shrink 56 Bipolar CMOS DMOS 56 ARM#EJ 55 Nextreme 55 DFEB 55 iCoupler 55 Hafnium 55 RFIC 55 chip SoC designs 55 RLDRAM 55 WinPath3 SuperLite 55 kit PDK 55 GaAs 55 Freescale i.MX# [002] 55 MirrorBit NOR 55 nvSRAM 55 AlGaN GaN 55 parasitic capacitance 55 Europractice 55 Analog FastSPICE 55 PMOS transistors 55 Speedster#i 55 SoCs 55 PWRficient processor 55 Bipolar CMOS DMOS BCD 55 Field Effect Transistors 55 Synopsys Galaxy 55 dual damascene 55 Virtex FPGAs 55 C#x DSP 55 antifuse 55 defectivity 55 DesignWare IP 55 mask ROM 55 Impinj AEON 55 DDR3 DRAM 55 wafer dicing 55 #V MOSFETs [002] 55 millisecond anneal 55 multicore processor 55 ESL synthesis 55 Altera Stratix II 55 SRAMs 55 logic LSIs 55 Double Patterning 55 TCI# 55 3Xnm 55 gigabit Gb NAND flash 55 oxynitride 55 Redpine 55 #nm geometries 55 embedded NVM 55 silicon interposer 55 Virtex 5 55 Freescale i.MX 55 FeRAM 55 RF LDMOS 55 Synopsys DFM 55 picoArray 55 RapidChip 55 DDR2 memory controller 55 Actel FPGA 55 Silicon Realization 55 silicon oxynitride 55 silicon CMOS 55 Talus Vortex FX 55 ProASIC3 55 DDR3 chips 55 Structured eASIC 55 GaN RF 55 HSIM 55 DMOS 55 silicon substrates 55 Optima HDx 55 MirrorBit ORNAND 55 VECTOR Express 55 parasitic inductance 55 CMOS scaling 55 LatticeECP2M 55 Virtuoso Multi 55 ReRAM 55 HEMTs 55 SOC designs 55 partial reconfiguration 55 PowerPro MG 55 BEOL 55 A9 processor 55 baseband LSI 55 #nm MLC 55 Xilinx Spartan 3A 55 MESFET 55 Methodology Kit 55 clockless 55 SiPs 55 nanometer lithography 55 ARM7TDMI processor 55 STM#L 55 Xilinx Spartan 55 wirebond 55 Altera FPGAs 55 Freescale QorIQ 55 HfSiON 55 CMOS Silicon 55 nanometer NAND flash 55 results QoR 55 PRC# 55 Cree GaN 55 parasitic extraction 55 e beam lithography 55 AMD Fusion APUs 54 Atmel CAP 54 voltage MOSFETs 54 MPC#D 54 TSMC 54 Cortex M0 54 FPGA architectures 54 Customizable Microcontroller 54 Sequans SQN# 54 optocoupler 54 Silicon Compiler 54 Encounter Timing System 54 FETs 54 MMICs 54 epitaxy 54 IC Compiler #.# 54 ASICs 54 ARM#T core 54 P# processor 54 high-k/metal gate HKMG 54 PIN diodes 54 Virage Logic SiWare 54 ceramic capacitor 54 Cadence Encounter digital 54 HIT Kit 54 memory compilers 54 CoolPAM 54 Schottky 54 tapeout 54 nanometer transistors 54 SiS#FX 54 NiSi 54 Tachyon OPC + 54 #nm 2Gb 54 ARM7TDMI core 54 QorIQ platforms 54 USB PHY 54 Talus Vortex 54 #bit MCUs 54 thinner wafers 54 C#x DSPs [001] 54 NMOS 54 ARM# [001] 54 epi wafers 54 TetraMAX ATPG 54 Kilopass XPM 54 #GB RDIMM 54 RFICs 54 Solido Variation Designer 54 4KEc core 54 F3D 54 Freescale MSC# 54 RTAX S 54 SiliconSmart ACE 54 Synplify Premier 54 FD SOI 54 SerDes 54 QorIQ 54 VideoCore 54 Cortex M3 54 Xilinx Virtex 5 54 millisecond annealing 54 Cortex M4 processor 54 #/#-nm 54 Stratix II GX 54 XDR DRAM 54 FineSim SPICE 54 OptiMOS 54 reconfigurable logic 54 amorphous silicon Si 54 FPGA 54 #nm Nextreme 54 RISC processor 54 SOI substrates 54 microchannel plate 54 amplifier module 54 MirrorBit Quad 54 #nm LPe process 54 Stratix II devices 54 Vishay Siliconix 54 #Gbps transceivers 54 #V LDMOS 54 ARM Cortex A9 MPCore 54 CMOS transceiver 54 PCB layout 54 Cortex M3 core 54 Cynthesizer 54 WLCSP 54 XFP module 54 line BEOL 54 LTE UE 54 4Gb DDR3 54 WiCkeD 54 CMOS RF CMOS 54 Gallium Arsenide GaAs 54 TestKompress 54 #K CPS 54 FPGA prototyping 54 TI DRP 54 MVSIM 54 GbE controller 54 picoPower 54 8bit MCU 54 HCS# 54 #nm Hi 54 DesignWare Verification IP 54 deep silicon etch 54 X FAB 54 simultaneous multithreading 54 AMD Fusion APU 54 1T SRAM 54 XA Spartan 3A 54 amorphous silicon 54 MOSFET 54 #Gbit [001] 54 dielectric materials 54 GaAs pHEMT 54 DiCon 54 ECPR 54 tunable RF 54 ZL# device 54 Altera Stratix IV 54 IGBT 54 embedded DRAM eDRAM 54 DFT MAX 54 Arria GX FPGAs 54 MB#R# 54 SOI silicon 54 VIA Nano processor 54 3D TSV 54 coprocessing 54 Stratix II FPGA 54 programmable logic 53 antireflective coatings 53 #nm processors 53 1Gbit DDR2 53 Atomic Layer Deposition 53 MSC# [001] 53 NL# processor 53 Star RCXT 53 equivalence checking 53 PeakView 53 CMOS RF 53 Mosfet 53 reconfigurable computing 53 embedded EEPROM 53 SiC Schottky diodes 53 selective emitter 53 RTAX#S 53 EasyPath 53 PoE PD 53 Strained silicon 53 Xilinx FPGA 53 poly Si 53 EUV lithography 53 cathode materials 53 Ripcord2 53 MB#K# 53 Serdes 53 DesignWare DDR 53 serial backplane 53 eWLB 53 ISL#M 53 AVR microcontrollers 53 XT #i 53 CEVA X 53 monolithic CMOS 53 Virtex II 53 SOI wafers 53 OpenAccess database 53 Silicon Via TSV 53 VCOs 53 LatticeECP2 53 Virtuoso Accelerated Parallel Simulator 53 MirrorBit Eclipse 53 triplexer 53 eMemory 53 nano imprint lithography 53 Cortex R4F processor 53 moviNAND 53 WiMAX SoC 53 mosfet 53 8bit MCUs 53 AVANTA 53 DAC# 53 PXI Express 53 Intel E# chipset 53 FB DIMM 53 Single Wafer 53 MLC SSDs 53 imprint lithography 53 AMD Phenom processor 53 manufacturability DFM 53 TAS# [001] 53 #GbE switches 53 LongRun2 53 phototransistors 53 ARM#EJ S 53 ARM Cortex M3 53 ConnX 53 CRIUS 53 nanometer nm node 53 Power MOSFETs 53 QT# [002] 53 NVIDIA nForce Professional 53 #nm photomask 53 ACPL K# 53 microprocessor architectures 53 PMICs 53 Cell MLC 53 silicon oscillators 53 AMD PowerNow 53 Flip Chip 53 embedded SRAM 53 GF# GPU 53 FXT FPGAs 53 UltraCMOS TM 53 GLOBALFOUNDRIES 53 k dielectric materials 53 serdes 53 MEMS fabrication 53 Helios XP 53 #GBASE T PHY 53 #.#um [001] 53 monolithically integrated 53 Array FPGA 53 Stratix IV GX 53 ARM Cortex processor 53 MTP NVM 53 PowerQUICC 53 QorIQ processors 53 nonvolatile memory 53 DDR NAND 53 nano imprint 53 Cadence Encounter RTL Compiler 53 2Gbit 53 ARM# ™ 53 TFTs 53 SiS# chipset 53 WinPath3 53 nanoimprint 53 Altera Stratix 53 E2E GPON 53 TrenchFET 53 SE#L 53 embedded processor cores 53 Blackfin Processors 53 MSC#x 53 structured Asic 53 Freescale 53 #nm Westmere 53 submicron 53 #nm MirrorBit 53 mm wafer 53 StarCore 53 insulator substrate 53 Si substrate 53 Spartan 3AN 53 nm immersion 53 nanophotonic 53 Oxide Silicon 53 nm FPGA 53 SiGen 53 MPC#E 53 SecurCore 53 CMOS circuits 53 PCI Express PHY 53 ITRS roadmap 53 ownership CoO 53 Calibre LFD 53 sapphire SOS 53 Nios II processor 53 SiGe BiCMOS SiGe 53 insulator wafers 53 HVICs 53 OmniBSI 53 CPLD 53 extreme ultraviolet lithography 53 WinPath 53 ASIC prototyping 53 JFETs 53 MicroBlaze processor 53 Nuvoton 53 Evaluation Module 53 photolithography 53 Atmel AVR# 53 GaAs FET 53 DRAM SRAM 53 LDO voltage regulator 53 LDMOS RF 53 embedded SerDes 53 Silicon Via 53 custom ASICs 53 FastSPICE 53 Micromorph 53 Low Leakage 53 tantalum capacitors 53 Configurable Logic 53 Bipolar Transistor 53 Blackfin BF#x 53 photonic devices 53 crystalline silicon c 53 Magma Talus 53 manufacturability 53 MB#C# [001] 53 #Gbps Ethernet switch 53 #nm lithography [002] 53 CSM# 53 copper metallization 53 ferroelectric random access 53 Z RAM 52 ArchPro 52 SC# processor 52 ASIC SoC 52 Epitaxial 52 UVision 52 gallium nitride 52 AT#UC#L 52 Xtensa LX 52 correction OPC 52 Actel flash 52 PolyMax 52 TSMC Hsinchu Taiwan 52 IGBT Insulated Gate 52 multicore DSP 52 MLC NAND flash 52 #nm MLC NAND 52 XDR memory 52 nm geometries 52 OneNAND 52 ISE #.#i 52 WLANPlus 52 Crolles2 Alliance 52 ARM Artisan 52 RDS ON 52 SMIC #.#um 52 #GFC 52 ProASIC Plus 52 through silicon vias 52 GaN 52 Praetorian TM 52 Silterra 52 mask reconfigurable 52 Mode Simulation 52 furnaceware 52 AFS Nano 52 #/#-bit [002] 52 StrataFlash 52 GPON ONT 52 MLC NAND Flash 52 Design Compiler Graphical 52 x ray optics 52 Gallium Nitride GaN 52 GaAs FETs 52 MLCCs 52 #nm Nehalem 52 Gb NAND 52 Penryn processor 52 transistor SRAM 52 bipolar transistor 52 eSi 52 MagnaChip 52 MIPS cores 52 MEMS oscillator 52 Marvell #W# 52 BIST 52 low k dielectric 52 Powerful debug 52 uniaxial strain 52 SynTest 52 foundries IDMs 52 CMOS transistor 52 SH 2A 52 Cadence SoC Encounter 52 #nm Process 52 POWER5 + processor 52 transistor HEMT 52 hydride vapor phase 52 Cortex processor 52 ETMemory 52 CMOS photonics 52 FEOL 52 silicon Si 52 VMM methodology 52 Freescale QorIQ P# 52 gigabit Gb 52 MB#Q# 52 nanometer nm NAND flash 52 LSISAS# 52 MAXQ# 52 millimeter silicon wafers 52 NLP# [001] 52 Quartz LVS 52 CMOS MEMS 52 PRoC LP 52 arrays FPGA 52 Chip SOC 52 OmniPixel3 HS 52 die bonder 52 nanocrystalline silicon 52 RF transistors 52 ARM#T processor 52 multijunction 52 CMOS SOI 52 Talus Design 52 IRF# 52 Stratix IV 52 Synopsys IC Compiler 52 GoldTime 52 Indium Phosphide 52 CdTe Si 52 S#C# 52 DDR3 modules 52 XFP modules 52 LatticeECP3 ™ 52 Tensilica Xtensa 52 ASIC ASSP 52 Intel #P chipset [001] 52 TMS#DM# [002] 52 embedded nonvolatile memory 52 SH#R 52 HelioVolt 52 OneDRAM 52 XWAY ARX# 52 IGP chipset 52 RTL verification 52 SoC Realization 52 #nm #nm #nm 52 Silicon CMOS Photonics 52 conductive polymer 52 nanoelectronic 52 hafnium 52 ferroelectric 52 ZMDI 52 DDR3 memory controller 52 NanoTime 52 TSMC Reference Flow 52 ProASIC3 FPGAs 52 fully synthesizable 52 DAN# 52 NanoBridge 52 CryptoCell 52 planarization 52 semiconductor fabrication 52 lithographic techniques 52 nm lithography 52 chip SoC designers 52 MetaSDRAM 52 Marvell #DE# 52 LatticeSC 52 TMS#C# DSP 52 Intelli DDR3 52 Altera Cyclone III 52 AR#AP G 52 CIGS 52 thermal dissipation 52 Texas Instruments OMAP# 52 VCSELs 52 indium phosphide 52 Quad NROM 52 #μm thick [002] 52 TMS#DM# [001] 52 Gallium arsenide 52 nitride semiconductor 52 socket AM3 52 SEMATECH 52 MPCF 52 frequency synthesizer 52 Fusion MPT 52 silicon photonic 52 TLA# Series 52 engineered substrates 52 MTCMOS 52 MIPS processors 52 integrating NVM 52 Tolapai 52 ARM processor cores 52 CSR BlueCore5 Multimedia 52 GaN wafer 52 flexible CIGS 52 #Gb NAND flash 52 #nm NAND flash 52 sSOI 52 multicore DSPs 52 AMS Reference Flow 52 optical waveguides 52 VIISta 52 CMOS foundry 52 Laser Diode Driver 52 RV# chip 52 RFeICs 52 MOSFETs 52 Immersion Lithography 52 SRAM DRAM 52 toggle DDR 52 Stratix FPGAs 52 On Insulator SOI 52 maskless lithography 52 tunable filters 52 NANDrive 52 thermo mechanical 52 Efficeon processor 52 Intel XScale ® 52 transistor arrays 52 Micron Boise Idaho 52 MSP# MCU 52 SoC Designer 52 analog circuitry 52 Altera Cyclone II 52 Atom ™ 52 Actel FPGAs 52 STR#F 52 MPSoC 52 Cadence Silicon Realization 52 AXI TM 52 organic TFTs 52 optical interconnect 52 BAW filters 52 Si#xx 52 PICO Extreme 52 TI TMS#C# 52 Fractional N 52 GDSII 52 wafer thinning 52 parasitics 52 ARM7 processor 52 Debug Solution 52 QDRII + 52 HardCopy ASIC 52 Simics 52 serializer deserializer 52 PowerNow 52 wafer fabrication 52 MEMS resonators 52 HV CMOS 52 Reference Flow 52 Gb DDR3 52 RLDRAM II 52 analog circuits 52 TrueStore 52 EUV masks 52 CPLDs 52 silicon photovoltaics 52 QorIQ P# processor 52 epitaxy HVPE 52 VeloceRF 51 PowerPC cores 51 Aixtron MOCVD 51 Theseus Titanium 51 TSMC #nm G 51 TDA# [002] 51 Atmel Introduces 51 PSoC architecture 51 SiWare Memory compilers 51 Ge substrates 51 Power MOSFET 51 SoC designers 51 AccelArray 51 PIC microcontroller 51 GX FPGA 51 HiSilicon 51 CMOS RF transceiver 51 RF IC 51 GaAs InP 51 Intel Core Microarchitecture 51 Aerosol Jet 51 Silicon Photonics 51 Mbit SRAM 51 TI MSP# 51 Tunable XFP 51 IEDM 51 customizable dataplane processor 51 RealTime Designer 51 EP#S# 51 optical lithography 51 Silicon Oxide Nitride 51 TFPV 51 MirrorBit Eclipse architecture 51 IC Validator 51 Qsys 51 Blackfin processors 51 breakdown voltages 51 iCE# 51 ARM#T# S processor 51 ATI PowerPlay ™ 51 nitride 51 ADI Blackfin 51 TiN 51 varactors 51 EDA tools 51 digital isolators 51 OneChip 51 MathStar FPOA 51 CompactPCI ® 51 AVR microcontroller 51 PowerPro CG 51 RF CMOS Analog 51 STARCAD CEL 51 LPDDR2 DRAM 51 ConvergenSC 51 silicon MEMS 51 Wafer Level Packaging 51 CMOS ICs 51 power dissipation 51 Quartus II 51 Encounter Conformal Constraint Designer 51 inch wafers 51 R8C/Tiny 51 DDR3 RDIMM 51 NVIDIA Tesla 51 heterogeneous multicore 51 STB# [002] 51 MRAM 51 Westmere processors 51 SuperH 51 PIC#F 51 film transistors TFTs 51 ZenTime 51 reprogrammable 51 indium gallium phosphide InGaP 51 ICs 51 SIMD instruction 51 TSMC #.#um 51 SystemVerilog verification 51 IGBTs 51 SoC Encounter 51 semiconductor 51 Level Synthesis 51 Evergreen Solar panels 51 MAPPER