Related by context. All words. (Click for frequent words.) 74 PSoC Designer 70 Synplify Pro software 69 PSoC Creator 69 Synplify Pro 69 SOPC Builder 69 Synplify 69 algorithmic synthesis 68 Xilinx Embedded 68 Talus Design 68 Lattice Diamond 68 ConvergenSC 68 EZ Color 68 ARM RealView 68 CoWare Platform Architect 68 PSoC architecture 68 Altera Quartus II 67 CellMath Designer 67 Ansoft Designer 67 Specman Elite 67 Cadence Incisive 66 PowerPro MG 66 Development Kit EDK 66 ARM7TDMI processor 66 ChipScope Pro 66 SelfReliant 66 MemoryScape 66 Quartus II 66 SignalExpress 66 PSpice 66 NI TestStand 66 NI VeriStand 66 DVEVM 66 kDiagnostics 66 CoFluent Studio 66 ispLEVER 66 PowerPro CG 66 Measurement Studio 65 Synplify Premier 65 ARM RealView R 65 Incisive Enterprise 65 graphical debugger 65 ISE WebPACK #.#i 65 TI OMAP# processor 65 DeviceSQL 65 TSMC Reference Flow 65 AVR ONE 65 Cadence Virtuoso 65 Synplicity Synplify Pro 65 PICO Extreme 65 Virtutech Simics 65 AXI TM 65 MMAV 65 ISE #.#i 65 ModelSim 65 FPGA prototypes 65 electromagnetic simulation 65 Calibre xACT 3D 65 PowerTheater 65 RealView 65 AVR Studio 64 Simics 64 LGS 2D 64 SystemC TLM 64 AVR microcontrollers 64 AMBA Designer 64 Blackfin Processors 64 evaluation module EVM 64 LabVIEW Embedded Module 64 ARM CoreSight 64 Active HDL 64 chip SoCs 64 PSoC Creator IDE 64 Altera FPGAs 64 CellMath 64 Environment IDE 64 Stratix II GX 64 WildPresenter 64 LabWindows CVI 64 WirelessUSB 64 FineSim Pro 64 Qsys 64 Evaluation Module 64 SystemC simulation 64 TSMC AMS 64 kit PDK 64 Synopsys Synplify Pro 64 Agilent ADS 64 CoWare Virtual Platform 64 Sourcery + 64 CCStudio 64 Esterel Studio 64 SCADE Display 64 TestKompress 64 HIT Kit 63 NightStar LX 63 Elemental Accelerator 63 CoWare ConvergenSC 63 SignalScope Pro 63 RealView Development 63 SystemWeaver 63 #K CPS 63 Xilinx ISE 63 Cortex R4F processor 63 Simulink ® 63 eCosPro 63 SysML 63 SystemVerilog verification 63 Talus Vortex 63 MicroBlaze 63 RealView Profiler 63 Chip Synthesis 63 Atmel picoPower 63 Talus RTL 63 SoftConsole 63 Sapphire Plug ins 63 RealView Microcontroller Development 63 MATLAB ® 63 OpenSL ES 63 MSP# MCU 63 Server v3 63 DPOJET 63 Aldec Active HDL 63 CoreMP7 63 AFS Nano 63 ® CS4 63 RealView ® 63 Lattice FPGAs 63 MaxSim 63 CapSense Express 63 Freescale CodeWarrior 63 SystemC models 63 Nucleus OS 63 Menus Master 63 DesignWare IP 63 TimeSys Linux 63 Actel Fusion 63 FloEFD 63 Xilinx FPGAs 63 ZDS II 63 Nexxim 63 Instrumentation ModelKit 63 COMSOL Multiphysics 63 GX FPGA 63 Macraigor 63 Dreamweaver CS5 63 RealTime Designer 63 3D ACIS Modeler 63 uC OS II 63 Quartus II software 63 Simplorer 63 Developer Kit 63 Cadence Virtuoso custom 63 ARChitect 63 COSMOSDesignSTAR 63 AWR Microwave Office 62 Chart ModelKit 62 Nucleus RTOS 62 Simulink Fixed Point 62 LiveDesign 62 Cortex M1 processor 62 Synplify DSP 62 InCyte 62 ARM RealView ® 62 PlanAhead 62 CodeSourcery Sourcery + 62 MPCore multiprocessor 62 CULA 62 TMS#C#x + 62 Developer Toolkit 62 HCS# 62 DSP algorithm 62 National Instruments LabVIEW 62 TMS#DM# [002] 62 CustomSim 62 Libero IDE 62 NPFLEX 62 AccelDSP 62 Delphi Prism 62 AVR# [002] 62 Adobe BrowserLab 62 AMBA AXI 62 Sequence PowerTheater 62 LGS 3D 62 CapSense ® 62 Fast Fourier Transform FFT 62 PopChart 62 Precision Synthesis 62 Calibre DFM 62 NVIDIA CUDA ™ 62 SilkPerformer 62 IntelliJ Idea 62 SoC Designer 62 capacitive touch sensing 62 SIwave 62 Solido Variation Designer 62 ARM# [003] 62 Xilinx FPGA 62 Modelica 62 SilkCentral Test Manager 62 ARM#EJ S TM 62 ATmega#RFA# 62 Adaptive ALM 62 Actel FPGA 62 SiliconSmart ACE 62 Memory Controllers 62 StyleVision 62 Havok Animation 62 MetaWare 62 Virtuoso Multi 62 SciFinance 62 TMS#C#x + DSP 62 gDEBugger 62 Flash Catalyst CS5 62 Magma RTL 62 SWT Designer 62 Transim 62 PROLITH 62 Symantec i3 62 GNU Toolchain 62 PureSpec 62 mTouch 62 MachFive 62 Fusion MPT 62 Magma Talus 62 ConceptDraw Office 62 Cadence Spectre 62 Mode Simulation 62 Open Verification Methodology 62 chip debugging emulator 62 iPDK 62 Stellaris LM#S# Evaluation Kit 62 Stellaris microcontrollers 62 FineSim SPICE 62 SystemRDL 62 Stateflow 62 SiliconSmart 62 Cadence Encounter digital 62 Cortex R4 processor 62 Quickfilter 62 Klocwork Insight 62 Stellaris MCUs 62 StarCore 62 AWG# 62 C#x DSPs [001] 62 NI LabView 62 kit RDK 62 TestStand 62 MQX RTOS 62 Adobe Soundbooth 62 Virtuoso UltraSim 62 LabView 62 Quadro GPUs 62 Verification IP 61 CoreSight 61 PitStop Automate 61 LabVIEW graphical 61 RocketDrive 61 lithography simulation 61 CodeWarrior 61 WindowTester 61 ComponentOne Studio Enterprise 61 Omnis Studio 61 VisualSim 61 C# DSP 61 Freescale i.MX 61 Openmake 61 Forte Cynthesizer 61 TargetProcess 61 PyCell 61 Cortex A8 processor 61 Synopsys Galaxy 61 TI C#x 61 SwiftShader 61 HyperShot 61 ispLEVER ® 61 DM#x [002] 61 MDK ARM 61 QNX Aviage 61 Altera SOPC Builder 61 ARM RealView Development 61 BeagleBoard 61 ALGOR FEA 61 Intel Thread Checker 61 SmartFusion devices 61 RealView SoC Designer 61 OpenWF 61 INtime 61 UModel 61 Evaluation Kits 61 Talus Vortex FX 61 NEi Nastran 61 CoWare ESL 61 VMM methodology 61 #r# 61 Xilinx ISE Design 61 WiLink 61 DIAdem 61 executable specification 61 TMS#C# 61 ThinkCAP JX 61 CoDeSys 61 Demonstration Kit 61 CoReUse 61 i.MX# processor [001] 61 HSIM 61 MPLAB ICD 2 61 ZigBee RF4CE specification 61 geometric modeling 61 LinuxLink subscription 61 Altera Stratix II 61 Diamond #Micro 61 Xilinx Spartan 3A 61 GDSII flow 61 IxANVL 61 NitroX 61 KeyShot 61 Theseus Titanium 61 AutoCAD Architecture 61 RocketVision 61 VisualDSP + + 61 Tensilica Xtensa 61 M3 processor 61 AcuSolve 61 LabVIEW SignalExpress 61 FEMPRO 61 BelaSigna 61 IAR KickStart Kit 61 MPLAB IDE 61 SPICE simulator 61 Atmel AVR# 61 ConceptDraw PROJECT 61 3D parametric CAD 61 TBrun 61 MPC# processor 61 Stratix II GX FPGAs 61 MicroBlaze soft processor 61 JukeBlox 61 MPC#e 61 PitStop Extreme 61 Agilent GoldenGate 61 Arria GX 61 FineSim 61 CodeSonar 61 ScriptMaster 61 CompactRIO 61 ElectricCommander 61 NVIDIA CUDA TM 61 Lattice ispLEVER 61 CellMath IP 61 Xtensa processor 61 Cortex M1 61 SOC VSP 61 DevRocket 61 COSMOSFloWorks 61 DesignWare Verification IP 61 Adobe Flash Catalyst CS5 61 IC Compiler #.# 61 Methodology Kit 61 PICO Express 61 QTouch 61 IVIVC Toolkit 61 Dreamweaver CS4 61 Proficy HMI SCADA iFIX 61 ANX# 61 SystemVue #.# 61 ARM7TDMI core 61 synthesizable RTL 61 RADVISION SIP 61 TRF# [002] 61 Cadence Encounter 61 Macromedia Flex 61 GENESIS# 61 DDR PHY 61 InCopy CS2 61 Zeligsoft CE 61 CY#C#x# 61 DevPartner Fault Simulator 61 synthesizable IP 60 SCADE Suite 60 RTL verification 60 Xpresenter 60 OpenCL ™ 60 Freescale i.MX# [002] 60 ModLyng 60 MIPS cores 60 parasitic extraction 60 PhotoTune 60 Systems Modeling Language 60 IBIS AMI models 60 QuickCap 60 Simulation Modeling Suite 60 SolidWorks Sustainability 60 OMAP#x 60 Havok Destruction 60 LabVIEW FPGA 60 Mitrion Platform 60 Voxengo 60 PowerFLOW 60 Configurable Logic 60 IAR Embedded Workbench 60 MCP# AFE 60 Autodesk Showcase 60 ARM#E 60 STARCAD CEL 60 Adobe Flash Catalyst 60 SAM3U 60 ARM#JF S 60 Kozio 60 Actel IGLOO 60 Twixtor 60 NewBlueFX 60 MPSoC 60 DM# [001] 60 Texas Instruments DaVinci 60 Matrox MAX technology 60 debug 60 Altium Designer 60 Reference Designs 60 Spatial 3D 60 embedded microcontroller 60 ARM#EJ S 60 RFIC simulation 60 SiSoft 60 C#x + DSP 60 BenchX 60 PrimeXsys Platform 60 Altair HyperWorks 60 Design Compiler 60 BeBoB 60 Mentum Planet 60 Intel Wireless MMX2 60 Analog FastSPICE 60 XVL Studio 60 ColorMunki Design 60 DesignWare USB 60 OpenVG 60 RapidMind platform 60 Phurnace Deliver 60 QNX Momentics 60 NanoSim 60 DesignWare Verification Library 60 CircuitSpace 60 UltraLightClient 60 GWT Designer 60 DVD Slideshow Builder 60 Calibre LFD 60 ARM#EJ S core 60 ADC#D# 60 Spartan 3A 60 Virtex 5 60 mControl 60 MicroBlaze processor 60 ARM AMBA 60 Crossware 60 Simulink 60 AccelChip DSP Synthesis 60 MXA signal 60 eVC 60 level synthesis HLS 60 Synopsys Synplify 60 AVR# Studio 60 Catapult C 60 SCE MI 60 MSC.Marc 60 STM# F 60 Blaze MDP 60 PhotoModeler Scanner 60 LynxOS SE 60 XBee PRO ZB 60 CoolTime 60 TI DSP 60 Anark Studio 60 NVIDIA PhysX technology 60 NI DAQmx 60 SystemC AMS 60 SyncDeK 60 TMS#C# DSP 60 Scali MPI Connect 60 3D InterOp 60 Adobe GoLive CS 60 i.MX# [001] 60 CODE V 60 QorIQ P# processor 60 TestTrack Studio 60 Altera Cyclone III 60 Stratix III FPGAs 60 ATI Stream SDK 60 TMS#DM# [001] 60 LabVIEW graphical programming 60 Boris RED 60 ElectricAccelerator 60 MATLAB Simulink 60 Inventor Fusion 60 Agilent EDA 60 LinuxDA 60 ARM Cortex M3 60 OpenHaptics 60 XCode 60 MontaVista DevRocket 60 Flash CS3 Professional 60 Havok FX 60 AMCC PowerPC 60 iBeans 60 NVIDIA CUDA architecture 60 Eclipse plug ins 60 Z8 Encore 60 Actel ProASIC3 60 NI SignalExpress 60 ColdFire V1 60 APOXI 60 HSPICE ® 60 Digital Signal Controllers 60 HFSS TM 60 EVE ZeBu 60 Autodesk VIZ 60 eZdsp 60 dgdiscover 60 ADS# ADS# 60 PCI Express PHY 60 CarbonTools 60 DALiM TWiST 60 RenderDrive 60 Nios II processor 60 Cypress PSoC 60 Snapdragon MDP 60 RFXpress 60 ZMD# 60 Databahn 60 DSP FPGA 60 Blackfin processor 60 SynTest 60 Mitrion C 60 FreeDimension 60 eSi RISC 60 CADopia 60 AFS Nano SPICE simulator 60 modules EVMs 60 Visual CE 60 Synplicity Synplify 59 NetCharts Server 59 Geographic Calculator 59 ANSI C 59 Navicat 59 Solarflare Solarstorm 59 FxFactory 59 Scaleform GFx 59 ViaCAD 59 IMAGINE Objective 59 Altera FPGA 59 uC OS 59 TruBio 59 Parasoft SOAtest 59 FPGAView 59 FPGA prototyping 59 ImageModeler 59 Artisteer 59 e SPECS 59 Lauterbach TRACE# 59 dsPIC DSCs 59 TrakSYS 59 IBIS AMI 59 MapForce 59 Verix 59 Graphical User Interface GUI 59 MadCap Capture 59 Synphony HLS 59 CodePro AnalytiX 59 toolpath generation 59 embOS 59 RT LAB 59 Proficy Process Systems 59 HeartOS 59 Stereo3D Toolbox 59 GigaChip Alliance 59 WSO2 Carbon 59 MB#R# 59 BEA WebLogic Workshop 59 Cortex M4 processor 59 ConceptDraw 59 SH 2A 59 Proligent 59 CodeWarrior Development 59 OSCI SystemC 59 ConceptDraw MINDMAP 59 Pro ENGINEER Wildfire 59 Stratix II 59 OLAP ModelKit 59 TI DaVinci 59 V1 ColdFire core 59 CUDA Toolkit 59 Blackfin processors 59 JetBrains Releases 59 #.#.#/ZigBee 59 e# cores 59 Visual SlickEdit 59 Zend Studio 59 multimedia codecs 59 LAPACK routines 59 ASIC FPGA 59 Adobe Flash Builder 59 Agile Platform 59 RTLinux 59 NaturalONE 59 Nios II Compiler 59 Mitrion Virtual Processor 59 SketchFlow 59 IG XL 59 VeloceRF 59 PyCell Studio 59 ARM7TDMI 59 AXIe 59 Alchemy CATALYST 59 WinPath 59 MadPak 59 IP XACT 59 intuitive wizards 59 CarbonTools PRO 59 coupled inductor 59 Autodesk Moldflow 59 Digimarc Discover 59 Microsoft Visual Studio.NET 59 AVR microcontroller 59 CryptoRF 59 ARM9 core 59 Dreamweaver MX 59 M5e Compact 59 Actel Fusion PSC 59 Moldex3D 59 MSC.Patran 59 3DVIA Virtools 59 OpenGL graphics 59 PulpMotion 59 MusicMagic 59 CharFlo Memory 59 Digital Multimeter 59 PeakStream Platform 59 OpenGL Shading Language 59 Arria GX FPGAs 59 Emergent Gamebryo 59 OptimalJ 59 Tasktop Pro 59 VERICUT 59 XTension 59 ZigBee stack 59 Blackblot 59 ThreadX SMP 59 RMI XLR 59 BCM# reference 59 Altium Designer unified 59 Tessent 59 XtremeDSP 59 TRACE# 59 MSP# MCUs 59 extensible APIs 59 VidShield 59 Corel DESIGNER Technical 59 QuickVision 59 ASIC prototyping 59 ModuleWorks 59 Design Compiler Graphical 59 PhotoTools 59 SoC Encounter 59 SiT# 59 Traktor DJ Studio 59 Silego 59 PIC microcontroller 59 Code Composer 59 DM# processor 59 Digital Converter ADC 59 Photoshop Plug 59 HBAnyware 59 OneSpace Modeling 59 LightSwitch 59 LPC# 59 OpenAccess database 59 Teja NP 59 Virtual Platforms 59 TurboCAD 59 Signal Analyzer 59 ARM#T 59 SiWare 59 TimeMachine ™ 59 Xgig 59 Wwise 59 NI Multisim 59 EDIUS Pro 3 59 LabVIEW 59 PrismX 59 GoLive CS2 59 PHP IDE 59 ispLEVER design 59 TargetLink 59 TimeStorm 59 Xtensa LX processor 59 embedded processor cores 59 CodeRush 59 uC OS III 59 Aleri Streaming Platform 59 Pervasive DataCloud 59 Structure# 59 LightTools 59 Quartz LVS 59 ArcGIS Engine 59 NI DAQmx driver 59 Knovel Math 59 InDesign CS4 59 nPulse 59 #bit microcontrollers 59 InDesign CS5 59 EuCon 59 DAC# 59 Cortex M4 59 KEPServerEX 59 TRAKTOR 59 IDT Serial RapidIO 59 Synopsys DesignWare ® 59 NI FlexRIO 59 iPDKs 59 Novas Verdi 59 Freescale ColdFire 59 WireFusion 59 capacitive touch controller 59 virtual prototyping 59 mTouch ™ 59 JasperGold 59 Canon MEAP 59 ARM Cortex ™ 59 eCos 59 Quadros Systems 59 #Kf 59 Microsoft Visual Studio.Net 59 UML modeling 59 computational lithography 59 DevExpress 59 debugging tools 59 Autodesk MotionBuilder 59 ConceptDraw Solution Park 59 Opal RT 59 Eclipse plugin 59 MCF# 59 FlashMate technology 59 ColdFire 59 Flash Programmer 59 Mixed Signal Design 58 Cynthesizer 58 IP cores 58 Model #A 58 Altera Nios II 58 CST MWS 58 EEMBC benchmarks 58 Virtio 58 iSIGHT FD 58 toolsuite 58 Encirq 3e 58 WEBENCH ® 58 ARM#JZF S 58 Cortex M0 processor 58 iServer 58 OrgPlus Enterprise Blueprint 58 MVSIM 58 OrCAD 58 UML SysML 58 customizable dataplane processor 58 Wimba Voice 58 3rdRail 58 TrueTouch solution 58 PCIe Gen2 58 SAM#G# 58 QorIQ 58 ThreadX 58 Lattix 58 MadCap Lingo 58 StarRC Custom 58 Timesys LinuxLink 58 ActiveDesign 58 Nanoindentation 58 PureTime 58 Evaluation Kit 58 ESL synthesis 58 T RackS 58 ARM Cortex M0 58 ViewXpand 58 i1Profiler 58 MathWorks Introduces 58 C#x DSP 58 CalMAN 58 LDRA Testbed 58 fully synthesizable 58 ColorMunki Photo 58 PhysX SDK 58 Embed X 58 signal analyzer 58 DecisionSite 58 DAPDNA 58 TeleUSE 58 eZ# RF# 58 SystemVue 58 Saba Publisher 58 Autodesk Architectural Desktop 58 Visualforce 58 #bit MCU 58 Actel Libero Integrated 58 TASKING 58 AT#UC#L 58 PowerShell Plus 58 CFD solver 58 testbenches 58 graphical debugging 58 static analyzer 58 TI DaVinci technology 58 TRANS4M 58 PXI Express 58 Fujitsu fi #N 58 VHDL Verilog 58 ADAPTcrm 58 Macraigor Systems 58 Matlab Simulink 58 OCDemon 58 FlightCheck Professional 58 RADIOSS 58 Aceplorer 58 #D/#D graphics 58 Autodesk Revit platform 58 functional prototypes 58 SilkTest 58 HSPICE 58 compiler optimizations 58 WiCkeD 58 Kaledo 58 Mylyn Connector 58 Cadence Allegro 58 AliasStudio 58 iTechnology Forms Accelerator 58 Tensilica processors 58 AccelWare 58 MathStar FPOA 58 SmartAudio 58 DataDirect XML Converters 58 CS4 Adobe 58 NVIDIA Tesla GPUs 58 Finite Element Method 58 Kinzan Studio 58 Blackfin Processor 58 Aplix JBlend 58 SmartClient 58 Reference Flow 58 PCR primer 58 ATI Avivo 58 Aptio 58 EDA tools 58 MIPS# architecture 58 CHEMKIN CFD 58 FPGAView software 58 USB OTG 58 Cortex processor 58 Illustrator CS2 58 FPGA CPLD 58 Part # DV# 58 GateFlow 58 Adobe Illustrator CS2 58 aDesigner 58 Parallel Computing Toolbox 58 iisu 58 dsPIC DSC 58 RoadTunes 58 Texas Instruments OMAP# 58 RoboDemo 58 FreeRTOS 58 ContentMaster 58 iFIX 58 FloWizard 58 Marvell PXA3xx 58 StellarisWare 58 nSys 58 HPC SDK 58 ARM cores 58 Multisim 58 ProCoder 58 BlueCat Linux 58 Nios II processors 58 FastScan 58 CgFX 58 CUDA ™ 58 RTLinuxPro 58 VMware vStorage API 58 TDS#B Series 58 Altera Stratix IV 58 PDMWorks 58 SystemVision 58 DBMoto 58 Parabuild 58 GPU PerfStudio 58 NXP Nexperia 58 RTOSs 58 AT#SAM# [002] 58 PNX# 58 Spartan 3AN 58 picoArray 58 ZeBu 58 PlantTriage 58 PrimalScript 58 WSO2 Mashup Server 58 CSR BlueCore5 Multimedia 58 DSP Builder 58 nanoPHY IP 58 Faroudja DCDi Cinema 58 PowerSHAPE 58 #MIPS [002] 58 multicore DSP 58 Cortex M3 58 HardCopy ASIC 58 FreeHand MX 58 JTAG Emulator 58 SimulationX 58 Microsoft Expression Blend 58 Dytran 58 VTour 58 Blio eReader 58 UEFI BIOS 58 Structured eASIC 58 QorIQ platforms 58 STK# [001] 58 AMBA AHB 58 Gurobi Optimizer 58 GRANTA MI 58 AQtime 58 FDTD Solutions 58 ARM Synopsys 58 Sybase WorkSpace 58 NovaMARS 58 Atmel microcontrollers 58 SimHD 58 Xtensa Xplorer 58 DDR NAND 58 WirelessUSB LP 58 Report Sharp Shooter 58 Color Efex Pro 58 Verific 58 TriCaster ™ 58 Graphical User Interfaces 58 AgitarOne 58 AWR Connected 58 DatabaseSpy 58 SDK Beta 58 Labview 58 POSIX conformant 58 analysis FEA 58 OpenKODE TM 58 DesignWare DDR IP 58 F#x [001] 58 Encounter RTL Compiler 58 MadCap Echo 58 customizable dataplane processors 58 NEi Fusion 58 EyeConnect 58 MoGraph 58 Hardware Acceleration 58 Xilinx Virtex 5 58 Physical Verification 58 Adobe Flash Professional CS5 58 Audio CODEC 58 Synopsys DesignWare 58 DDR Memory 58 Envox CT ADE 58 CEVA X 58 QNX Neutrino 58 2D vector graphics 58 Phoenix HyperSpace 58 Silicon Canvas 58 TestPartner 58 MOTODEV Studio 58 Precision RTL synthesis 58 optimizing compiler 58 ADSP BF# 58 LabVIEW FPGA Module 58 Cine tal 58 GNU toolchain 58 MC#x 58 Bit MCU 58 C#x + 58 treemaps 58 compilers debuggers 58 Cinema 4D 58 StarCore DSP 58 QNX Neutrino RTOS 58 Perforce SCM 58 OMAP platform 58 ABAQUS CAE 58 ARM#T processor 58 HALion 58 AGEIA PhysX SDK 58 CAD CAE integration 58 RotaryWave 58 LDK# 58 MIPS Verified 58 MPLAB REAL ICE 58 FireStorm DAO 58 V e2 58 GL Studio