SiON

Related by string. Sion * * televi sion . Divi sion . deci sion . divi sion . explo sion . expan sion . vi sion . posses sion . Sion Sono . Welshman Sion Bebb . conclu sion . commis sion . commis sioner . Commis sioner . Commis sion . Planning Commis sion . suspen sion . Assistant Commis sioner . Sion Bebb . Admis sion . provi sions . Sion Lenton . deci sions . Mount Sion . de Sion . FC Sion . Sion Mills *

Related by context. All words. (Click for frequent words.) 75 CMOS transistors 72 pMOS 71 hafnium oxide 71 k dielectric 71 #nm immersion lithography 71 gate dielectrics 70 #.#μ 70 FinFET 69 Si substrate 69 #nm silicon 69 MOS transistors 69 SOI CMOS 68 k gate dielectrics 68 k gate dielectric 68 silicon Si 67 silicide 67 TiN 67 5V CMOS 67 monolithically integrated 67 oxide semiconductor 67 MOS transistor 67 #nm SOI 67 threshold voltages 66 #nm CMOS [002] 66 SWCNT 66 HEMTs 66 nMOS 66 PHEMT 66 HEMT 66 TFTs 66 #.#μm CMOS process 66 TSMC #nm process 66 HfSiON 66 metallisation 66 ZnSe 66 epitaxial layer 66 AlGaN GaN 65 #μm thick [002] 65 UMC #nm 65 sapphire substrate 65 nitride semiconductor 65 silicon substrates 65 copper metallization 65 nm CMOS 65 aluminum nitride 65 SiO 2 65 CMOS fabrication 65 5nm 65 oxide thickness 65 defect densities 65 #.# micron CMOS 65 #nm CMOS [001] 65 HKMG 65 capacitances 65 #.#um CMOS process 65 dopant 65 microchannel plate 65 bipolar transistors 65 JFET 65 InAs 64 indium phosphide InP 64 nickel silicide 64 silicon nanowire 64 .# micron 64 #.#μm [002] 64 5μm 64 FinFETs 64 CMOS silicon 64 poly Si 64 Mosfets 64 dual damascene 64 k dielectrics 64 GaN transistor 64 BJTs 64 AlN 64 superlattice 64 Silicon Germanium 64 #nm SRAM 64 #nm nodes 64 GaN wafers 64 deep sub micron 64 pHEMT 64 transparent electrode 64 6T SRAM 64 gate dielectric 64 #nm node [001] 64 RF transistors 64 silicon germanium SiGe 64 varactors 64 TSMC #nm [001] 64 SOI substrates 64 geometries shrink 63 wafer thickness 63 wirebond 63 NiSi 63 #nm immersion 63 nanofabricated 63 AlGaN 63 mosfet 63 #.#u 63 voltage CMOS 63 #.#um [001] 63 embedded SRAM 63 leakage currents 63 SOI substrate 63 Silicon Nitride 63 CMOS RF CMOS 63 pentacene 63 breakdown voltages 63 pellicle 63 GaAs MESFET 63 conductive polymer 63 MESFET 63 heterojunction 63 MEMS resonators 63 silicon oxynitride 63 crystallinity 63 amorphous silicon Si 63 nm SRAM 63 nitride 63 SiC substrates 63 FeRAM 63 heterostructure 63 optical waveguide 63 nm CMOS process 63 InGaP 63 Schematic representation 63 multilayers 63 BGA packaging 63 oxynitride 63 laterally diffused metal 63 P3HT 63 III nitride 63 UVTP 63 JFETs 63 SiGe bipolar 63 parasitic capacitance 63 silicon CMOS 62 PIN photodiode 62 #nm node [002] 62 #.#μm [001] 62 PIN diode 62 low k dielectrics 62 toroids 62 phototransistors 62 Epitaxial 62 photolithographic 62 micromirror 62 optical waveguides 62 #.# micron node 62 TSMC #.#um 62 silica spheres 62 magnetron sputtering 62 InSb 62 microcavities 62 Gallium arsenide 62 nanotube arrays 62 silicon nanocrystals 62 passivation layer 62 gate electrode 62 1μm 62 GaN substrates 62 bipolar transistor 62 mask ROM 62 nanometer silicon 62 FDSOI 62 monolithic microwave integrated 62 organic TFTs 62 lithium niobate 62 chalcogenide 62 substrate 62 InN 62 lattice mismatch 62 SOI wafer 62 epiwafers 62 semiconducting material 62 #nm/#nm 62 dielectric materials 62 SOI silicon 62 MWNT 62 varistor 62 electron mobility 62 nanometer node 62 Complementary Metal Oxide Semiconductor 62 GLOBALFOUNDRIES #nm 62 insulator SOI technology 62 HKMG technology 62 Field Effect Transistors 62 3Xnm 62 Elpida #nm 62 UMC #.#um 62 CMOS circuits 62 imprint lithography 61 multijunction solar cells 61 Cu interconnects 61 VCSELs 61 high-k/metal-gate 61 NanoBridge 61 capacitively coupled 61 pulsed laser deposition 61 conductivities 61 PMOS transistors 61 PIN diodes 61 GaN HEMTs 61 underfill 61 lithographic techniques 61 Gallium Arsenide 61 Heterojunction 61 ferro electric 61 nm DRAM 61 copper interconnects 61 nm immersion 61 InGaN 61 transistor HEMT 61 #nm MLC 61 spiral inductors 61 K dielectrics 61 LiNbO3 61 cm ² 61 microcavity 61 4H SiC 61 measuring #.#mm x [001] 61 Schottky 61 planarization 61 carbon nanotube CNT 61 Schottky diode 61 dielectric etch 61 Resistive Random Access 61 nm SOI 61 dielectric layers 61 planar waveguide 61 through silicon vias 61 dielectrics 61 wafer dicing 61 tetragonal 61 2μm 61 silicon 61 oxide thickness EOT 61 indium gallium phosphide InGaP 61 antimonide 61 CMOS compatible 61 ZnS 61 dielectric constant 61 #nm RF CMOS 61 ReRAM 61 #nm #nm [002] 61 #LP [002] 61 monolithic CMOS 61 LSA#A 61 #nm DRAM 61 transistor leakage 61 eutectic 61 epitaxial silicon 61 epitaxial layers 61 AlN layer 61 QMEMS 61 reconfigurable logic 61 GaN HEMT 61 nm nodes 61 Low Leakage 61 indium arsenide 61 nanocrystalline 61 chalcogenide glass 61 SLC NAND flash 61 Qdot 61 Fig. 1c 61 GaAs substrates 61 nematic 61 Si Ge 61 BiCMOS 61 ε 61 thermal conduction 61 metalorganic chemical vapor deposition 61 Field Effect Transistor 61 nano imprint 61 thermally stable 61 MOSFETS 61 CRIUS 61 high-k/metal gate 61 FUSI 61 bistable 60 InGaP HBT 60 selective emitter 60 ferroelectric 60 thermo mechanical 60 epitaxy HVPE 60 coplanar 60 micron thick 60 wirewound 60 Memristors 60 doped silicon 60 leadless package 60 planar transistors 60 cmos 60 RRAM 60 germanium substrates 60 biaxial 60 high voltage BCDMOS 60 silicon germanium 60 SiGe C 60 Schottky diodes 60 ceramic capacitor 60 calcium fluoride 60 nonpolar GaN 60 e beam lithography 60 epi wafers 60 NMOS 60 photodetector 60 nanowire arrays 60 Fabry Perot 60 zener diodes 60 transistor arrays 60 triplexer 60 Grätzel cells 60 deep submicron CMOS 60 #Gbps Ethernet switch 60 nanometer CMOS 60 #.#nm [002] 60 photonic crystal 60 manganite 60 semiconductive 60 passivation 60 #.#μm CMOS 60 #mm# [002] 60 ferroelectric RAM 60 absorption coefficient 60 DFB lasers 60 metal gate HKMG 60 optically coupled 60 indium gallium 60 thermally conductive 60 BEOL 60 biocompatible polymers 60 nanofilm 60 DDR3 modules 60 Zener diodes 60 FD SOI 60 AlN substrates 60 TSVs 60 SO8 60 magnetostrictive 60 Mbit SRAM 60 LPCVD 60 tunable filters 60 #nm wavelength [001] 60 mosfets 60 Gallium Nitride 60 GaN layer 60 Bragg grating 60 Si PV 60 resistive element 60 #mm ² [002] 60 insulator wafers 60 avalanche photodiodes 60 SIMOX 60 A9 processor 60 linewidths 60 GaP 60 PIN photodiodes 60 diffractive optical elements 60 3mm x 60 photodiode array 60 CIS CIGS 60 effect transistor FET 60 ACPL K# 60 Ferroelectric 60 semiconducting nanowires 60 film transistors TFTs 60 transparent conductive oxide 60 thyristor 60 polycrystalline 60 AlInGaP 60 antireflection 60 indium gallium nitride InGaN 60 Powerful debug 60 Aixtron MOCVD 60 AlGaInP 60 dielectric layer 60 Ge substrates 60 High Voltage CMOS 60 Czochralski 60 CyberDisplay #K 60 graphene transistors 60 mechanical polishing CMP 60 sSOI 59 QFN packaging 59 nanomesh 59 Amorphous silicon 59 ownership CoO 59 BiFET 59 PZT 59 CoolMOS 59 Fractional N 59 laser annealing 59 GaN substrate 59 Silicon Carbide 59 SiC Schottky diodes 59 silicon waveguides 59 optocoupler 59 k dielectric materials 59 MTP NVM 59 #.#x#.#mm 59 wafer bonder 59 MLCCs 59 solderable 59 nonlinear optical 59 dielectric constants 59 ferroelectrics 59 gigabit Gb NAND flash 59 indium gallium arsenide InGaAs 59 Focused Ion Beam 59 ZnO nanowires 59 chipscale 59 absorber layer 59 #nm [001] 59 CMOS 59 SOI wafers 59 liquid crystal polymer 59 MLC NAND flash 59 electrically insulating 59 Attenuator 59 indium gallium nitride 59 microcrystalline 59 macroporous 59 semiconductor nanowires 59 graphene transistor 59 electron tunneling 59 conductive epoxy 59 surface mountable 59 crystalline Si 59 ion traps 59 conductive adhesives 59 computational lithography 59 iCoupler 59 nanometric 59 tantalum capacitors 59 amorphous silicon 59 Si wafers 59 graphene nanoribbons 59 Micromorph 59 thermoplastic polymer 59 capacitative 59 piezoresistive 59 2Gbit 59 nm VCSEL 59 heterostructures 59 hydride vapor phase 59 EO polymer 59 DRAM SRAM 59 Photolithography 59 5mm x 5mm 59 InGaAs 59 millisecond annealing 59 di selenide 59 silicon oxynitride SiON 59 compressive stress 59 silicon interposer 59 planar 59 subwavelength 59 multichip 59 indium tin oxide ITO 59 line BEOL 59 Gb NAND 59 immersion litho 59 crystalline silicon wafers 59 AlGaAs 59 Qspeed diodes 59 MIM capacitors 59 MirrorBit NOR 59 CdSe 59 overmolded 59 CIGS cells 59 solder bumping 59 3bpc 59 CNT FED 59 CdS 59 SFP + transceivers 59 parasitic inductance 59 Si substrates 59 vapor deposition 59 Raman lasers 59 Gallium Arsenide GaAs 59 MLC NAND Flash 59 ArF immersion lithography 59 variable resistor 59 silicon MEMS 59 reflowed 59 pn junctions 59 OTFT 59 GaN layers 59 QFP packages 59 #pin [001] 59 #um [002] 59 LDMOS devices 59 microfabrication techniques 59 conductive coating 59 PEDOT PSS 59 elastic modulus 59 indium gallium arsenide 59 ultrathin layer 59 finFETs 59 Cymbet EnerChip 59 tensile stress 59 SiC MOSFET 59 PVD CVD 59 transistor pHEMT 59 zener diode 59 DrMOS 59 planar CMOS 59 anisotropic 59 #μm [002] 59 boron nitride 59 overmolding 59 epitaxial wafers 59 Buried Wordline technology 59 antifuse 59 GaN wafer 59 strontium titanate 59 HfO2 59 electro optic plastics 59 #nm laser [001] 59 #.#um CMOS 59 MTCMOS 59 Optocoupler 59 nanophotonic 59 DFM DFY 59 nanoimprinting 59 photon detection 59 microstructured 59 nanopatterned 59 superlattices 59 epitaxy 59 monocrystalline silicon 59 AIX #G# 59 SoC architectures 59 vertical cavity 59 transconductance 58 3nm 58 analog circuitry 58 HDP CVD 58 nanosilicon 58 absorption spectroscopy 58 polymer electrolyte 58 silicon modulators 58 Insulator SOI 58 CdTe PV 58 CMOS logic 58 #nm lithography [002] 58 2Xnm 58 chip resistors 58 TOF TOF 58 bismuth telluride 58 mask aligner 58 XFP module 58 Vdd 58 TrueStore 58 Mbit MRAM 58 λ 58 nanocomposite material 58 photomultiplier tubes 58 plasma etching 58 microRNA molecule 58 SiC 58 bandgaps 58 monochromator 58 gate transistors 58 QDs 58 melt viscosity 58 sputter deposition 58 polyimides 58 transistor amplifier 58 micromachined 58 IGBT Insulated Gate 58 nanoimprint 58 hafnium dioxide 58 SWNT 58 epiwafer 58 di selenide CIGS 58 Strained Silicon 58 #nm lithography [001] 58 TVS diodes 58 smaller geometries 58 CoO 58 photoconductive 58 Si SiGe 58 milliohm 58 aluminum electrolytic capacitors 58 GaN transistors 58 indium phosphide 58 CIGS copper indium 58 silicon substrate 58 PEEK OPTIMA 58 nitrided 58 immersion lithography 58 DongbuAnam 58 Z Foil 58 microbolometers 58 absorbance 58 Indium Phosphide 58 #mm ² [001] 58 photosystem II 58 dimensional nanostructures 58 GaAs pHEMT 58 PMICs 58 active matrix OLEDs 58 Electron Mobility Transistor 58 substrates 58 solder bumps 58 DDR2 DRAM 58 selenide 58 Aerosol Jet 58 #.#VI O 58 #nm wafers 58 birefringence 58 metal insulator 58 polariton 58 photoresist 58 graphene layers 58 Thin Film Transistors 58 #nm [002] 58 Paraloid 58 HVIC 58 nanoporous 58 photoconversion 58 Schottky rectifiers 58 fig. S2 58 Nova NanoSEM 58 lithographic processes 58 HBLEDs 58 GaN nanowires 58 Arria GX FPGAs 58 conjugated polymer 58 #.#mm# [001] 58 coaxially 58 nanodots 58 MRAMs 58 transceiver IC 58 singlemode 58 nano imprint lithography 58 thinner wafers 58 Mosfet 58 epitaxially grown 58 silicon photovoltaics 58 spintronic device 58 1nm 58 submicron 58 multiplexer demultiplexer 58 Lithium Niobate 58 indium antimonide 58 microlens 58 lasing wavelength 58 asics 58 silicon nitride 58 MAX# integrates 58 MEMS oscillators 58 #pF [001] 58 athermal 58 mm ² 58 On Insulator SOI 58 BGA packages 58 #G DQPSK 58 nanopillars 58 selectivities 58 μm diameter 58 Fig. 2B 58 nanofluidic 58 GaSb 58 DSSCs 58 epitaxial 58 GaN LEDs 58 Serdes 58 Inductors 58 microbolometer 58 bistability 58 ultraviolet lasers 58 Cree GaN 58 electroluminescence EL 58 Aluminum Nitride 58 silicon transistors 58 nanochannel 58 monolayers 58 titania 58 self assembled monolayer 58 Particulate Reactor TM 58 Nd YAG lasers 58 Josephson junction 58 silicon photonic 58 donor acceptor 58 nanochannels 58 picosecond lasers 58 glutamyl 58 transistor SRAM 58 nanolaser 58 linearized 58 photomultipliers 58 site directed mutagenesis 58 silicate glass 58 uniaxial 58 DDR PHY 58 AMOLEDs 58 tantalum capacitor 58 DMOS 58 porous silicon 58 nanometer transistors 58 nm wavelengths 58 inkjet printhead 58 MirrorBit ORNAND 58 silica nanoparticles 58 ferroelectric random access 58 sapphire wafers 58 CMOS processes 58 Vertical Cavity Surface Emitting 58 Copper Indium Gallium 58 8bit MCUs 58 CMOS imager 58 fpgas 58 inherently flame retardant 58 synchronous buck converter 58 Lithium ions 58 Flip Chip 58 Indium Phosphide InP 58 voltage divider 58 bilayer graphene 58 transistor 58 QuickCap NX 58 thermally activated 58 gallium phosphide 58 nanoelectromechanical systems 58 nanometer lithography 57 CIGS solar cell 57 nanowire transistors 57 IC substrates 57 #nm NAND flash 57 nanodevice 57 GaAs wafers 57 2kV 57 polylactide 57 Silicon germanium 57 poly silicon 57 nm node 57 microcrystalline silicon 57 thermally optimized 57 electrolytic capacitor 57 nano fluidic 57 barium titanate 57 voltage MOSFET 57 AEC Q# qualified 57 wafer prober 57 1T FLASH 57 gallium nitride 57 CIGS Copper Indium 57 stripline 57 CMOS wafers 57 RF LDMOS 57 structured ASICs 57 VCSEL driver 57 toroid 57 Amorphous Silicon 57 CMOS oscillators 57 Stratix III FPGAs 57 CMOS wafer 57 LTR# 57 crystal resonator 57 CCD detector 57 voltage #.#V 57 SDRAMs 57 CIGS solar cells 57 nvSRAM 57 low k dielectric 57 GaAs gallium arsenide 57 thermal conductivities 57 microdevices 57 embedded EEPROM 57 SiO2 57 dissipative 57 #nm MirrorBit 57 integrated passives 57 SQUIDs 57 electroluminescence 57 nanoantenna 57 nanometer nm NAND flash 57 Gallium Nitride GaN 57 Bipolar CMOS DMOS 57 Alien Crosstalk 57 silicon germanium SiGe BiCMOS 57 ferrite 57 fused silica 57 Mbit SRAMs 57 #nm 2Gb 57 heterogeneous catalysts 57 cadmium selenide 57 magnetic permeability 57 4Gb DDR3 57 zinc oxide ZnO 57 titanium nitride 57 LDMOS RF power 57 ferrites 57 Gallium nitride 57 photocouplers 57 leadframes 57 Structured ASICs 57 capacitance 57 Nitride 57 heat spreader 57 photonic devices 57 multilayer ceramic 57 microfabricated 57 bandpass filters 57 sq. mm 57 interfacial layer 57 sensing resistors 57 bandgap 57 CAN transceiver 57 nanotubes nanowires 57 qubit quantum 57 MirrorBit Quad 57 monolayer 57 avalanche photodiode 57 coercivity 57 InP 57 conventional photolithography 57 cadmium sulfide 57 martensite 57 Sitrans 57 intermetallic 57 Titanate 57 Plasmonic 57 σ 57 ferrite beads 57 carbon nanotube transistors 57 RO membrane 57 gallium indium arsenide 57 OSTAR ® 57 superior heat dissipation 57 moldability 57 IGLOO FPGAs 57 TEGs 57 LTPS TFT 57 parylene 57 electron transistor 57 Solido Variation Designer 57 SAW oscillators 57 numerical aperture NA 57 EUV mask 57 polyaniline 57 MPCF 57 Impinj AEON 57 FineSim Pro 57 MEMS resonator 57 silicon etch 57 copper electroplating 57 hafnium 57 VCSEL 57 ceramic substrate 57 Chemical Vapor Deposition CVD 57 ZnO nanowire 57 zirconium oxide 57 Nanocrystals 57 tunable filter 57 silicon crystals 57 DDR3 DRAM 57 Fig. 3A 57 Sanger sequencing 57 DDR4 57 Annexin V 57 fluorescence quenching 57 micromorph 57 SDS PAGE 57 microdevice 57 Copper Indium Gallium Selenide 57 sigma delta 57 RTAX#S 57 XT #i 57 SAR ADC 57 Si TFT 57 austenitic stainless steel 57 YAG lasers 57 Rdson 57 solder bump 57 kbit 57 silicone encapsulation 57 poly L lysine 57 carbon nanotube electrodes 57 microelectromechanical 57 X7R 57 optical modulator 57 gallium selenide 57 #nm transistors 57 multiplexing capabilities 57 #nm laser [002] 57 polymer substrates 57 EEPROMs 57 #nm fabrication 57 micrometre scale 57 #nm FPGAs 57 2Gb DDR3 57 differential scanning calorimetry 57 Inductor 57 electrophoretic displays 57 Young modulus 57 #μm [001] 57 transparent conductive 57 gelation 57 eWLB 57 CMOS transistor 57 collinear 57 #nm HKMG 57 FETs 57 Intel LGA# [001] 57 APTIV film 57 optical lithography 57 SiC wafers 57 aluminum gallium nitride 57 PEDOT 57 photoelectrochemical 57 multichip package 57 CAN transceivers 57 rigid substrate 57 Schematic diagram 57 multi crystalline wafers 57 voltage MOSFETs 57 agarose 57 nm 57 CMOS ICs 57 MWCNTs 57 polysiloxane 57 ARM#EJ processor 57 Copolymer 57 STT RAM 57 electrochemical capacitors 57 monodisperse 57 ITRS roadmap 57 ArF 57 oscillation frequency 57 LUXEON H 57 8bit MCU 57 insulating substrate 57 XFP modules 57 rectifier diodes 57 linearly polarized 57 block copolymer 57 #GB RDIMM 57 ZnO 57 eutectic alloy 57 ferromagnetic materials 57 deep silicon etch 57 silicon oxide 57 thermal dissipation 57 DFN# 57 electrophoretic 57 oligomer 57 fluorescence intensity 57 SO8 package 57 capacitive coupling 57 #.#mm x [003] 57 millisecond anneal 57 microlenses 57 undoped 57 solution processible 57 GaN LED 57 TDFN packages 57 planar lightwave circuits 57 Hafnium 57 aligned carbon nanotubes 57 #.#uF 57 PowerTrim 57 photonic bandgap 57 superconducting qubit 57 advanced leadframe 57 dc dc conversion 57 Laser VCSEL 57 Josephson junctions 57 nanolayers 57 RV# GPU 57 pre preg 57 pyrophosphate 57 gallium indium 57 Surface Acoustic Wave 57 Deep Reactive Ion Etching 57 Varistors 57 Carbon nanotube 57 reflow solder 57 scintillator 57 serial EEPROMs 57 NMR spectra 57 epitaxial substrates 57 leadless 57 ratiometric 57 XENPAK 57 #nm VCSEL [001] 57 GaN 57 Sigma fxP 57 nm NAND flash 57 functionally graded 57 UltraCMOS 57 programmable SoC 57 MEMS gyroscope 57 PE# [001] 57 insulator substrate

Back to home page