Related by context. All words. (Click for frequent words.) 60 #nm silicon 59 silicon 58 nanoimprint lithography 58 photonic devices 57 nanometer CMOS 57 SiGe bipolar 57 nitride semiconductor 57 photonic integration 57 #.# micron CMOS 57 TSVs 57 #nm CMOS [001] 57 CdTe Si 57 optical waveguides 57 #nm CMOS [002] 56 microfabrication 56 UMC #nm 56 mass producible 56 #nm node [001] 56 k gate dielectric 56 CMOS silicon 56 gate dielectrics 55 graphene transistors 55 nanopatterning 55 silicon germanium SiGe 55 ARM#EJ processor 55 embedded NVM 55 #/#nm 55 photonic circuits 55 Strained silicon 55 high voltage BCDMOS 55 nm CMOS 55 epitaxy 55 nm nodes 55 optical lithography 55 InP 55 lithographic techniques 54 silicon germanium 54 spintronic devices 54 RFCMOS 54 nanometer node 54 EUV lithography 54 nanophotonic 54 nanolithography 54 pHEMT 54 photodetectors 54 Gallium arsenide 54 nano imprint 54 microfluidic devices 54 #nm #nm [005] 54 Encounter RTL Compiler 54 deep sub micron 54 voltage CMOS 54 Photolithography 54 CMOS fabrication 54 microfabrication techniques 54 reproducible 54 manufacturability 54 Silicon carbide 54 smaller geometries 54 through silicon vias 54 k dielectric 53 electro optic polymer 53 ownership CoO 53 tetrapod quantum dots 53 Cree GaN 53 nm node 53 #nm immersion lithography 53 #μm thick [002] 53 extreme ultraviolet lithography 53 silicon substrates 53 CIGS Copper Indium 53 oxide semiconductor 53 nanofilm 53 FinFETs 53 nanoelectronic 53 lithography 53 germanium substrates 53 gallium nitride GaN 53 maskless lithography 53 CMOS 53 silicon photovoltaics 53 nanocircuits 53 e beam lithography 53 #.#μm [002] 53 thermoelectric materials 53 computational lithography 53 millisecond anneal 53 organic photovoltaics 53 SOI CMOS 53 flexible substrates 52 high-k/metal gate 52 vapor deposition 52 EUV 52 #nm RF CMOS 52 AlGaN GaN 52 biocompatible 52 nanometer silicon 52 #.# micron node 52 nm DRAM 52 Arria GX FPGAs 52 gallium nitride 52 monolithically integrated 52 #nm [001] 52 low k dielectrics 52 CMOS compatible 52 RRAM 52 Altera FPGAs 52 nanometer scale 52 EUVL 52 defect densities 52 SiPs 52 Silicon Germanium 52 DFM DFY 52 nanoscale 52 CIGS cells 52 multijunction solar cells 52 nanoimprint 52 FD SOI 52 film transistors TFTs 52 CMOS transistors 52 crystalline Si 52 k dielectrics 52 Configurable Logic 52 deep submicron 51 RTL verification 51 .# micron 51 GaN wafer 51 engineered substrates 51 electron mobility 51 nanometer 51 imprint lithography 51 transparent electrode 51 #LP [002] 51 CIGS copper indium 51 photonic integrated circuits 51 SiON 51 BiCMOS 51 Structured ASICs 51 UMC #.#um 51 3D TSV 51 carbon nanotube transistors 51 photolithographic 51 MAPPER 51 Silicon wafers 51 SchmartBoard ez 51 CMOS oscillators 51 interposers 51 DFEB 51 submicron 51 atomically precise 51 nm CMOS process 51 laser annealing 51 high-k/metal-gate 51 GaN 51 reconfigurable logic 51 III nitride 51 TSMC #nm process 51 nitride 51 #.#um CMOS 51 SiC 51 nanoimprinting 51 Nanometer 51 Nextreme 51 tapeouts 51 CIGS solar cells 51 fabricate nanoscale 51 SiC wafers 51 sSOI 51 #.# micron SiGe 51 Powerful debug 51 silicon photonic 51 logic CMOS 51 ESL synthesis 51 CMOS foundry 51 TFPV 51 monodisperse 51 nanoparticle inks 51 nanocomposite materials 51 Copper Indium Gallium Selenide 51 flexible OLEDs 51 silicon substrate 51 HEMTs 51 transparent conductive coatings 51 tunable RF 51 transistor arrays 51 microdevices 51 nanomanufacturing 51 Softransceiver 51 thinner wafers 51 Amorphous silicon 51 nanoelectronic devices 51 copper metallization 50 SiGe BiCMOS 50 #nm/#nm 50 organic TFTs 50 inorganic semiconductors 50 cadmium selenide 50 BiFET 50 silicon photonics 50 CIGS panels 50 nanometric 50 ASIC prototyping 50 prototyping 50 nanocomposite material 50 pMOS 50 HKMG technology 50 CMOS logic 50 2Xnm 50 SoC designs 50 MAX# integrates 50 microelectronic devices 50 Gallium nitride 50 RF CMOS 50 5V CMOS 50 cathode materials 50 QuickCap NX 50 Gildas Sorin CEO 50 nanoantenna 50 optically transparent 50 eASIC 50 multijunction 50 ECPR 50 ZigBee compliant 50 #.#μm CMOS 50 nanodevice 50 nano imprint lithography 50 ZnSe 50 photolithography 50 mismatched alloys 50 metallic nanostructures 50 InN 50 geometries shrink 50 deep submicron CMOS 50 GaN transistors 50 SIMOX 50 conductive inks 50 #nm SOI 50 optical coatings 50 millimeter silicon wafers 50 #nm lithography [002] 50 wirebond 50 functionalizing 50 CMOS processes 50 MOS transistors 50 Structured ASIC 50 ArF immersion lithography 50 CMOS MEMS 50 immersion lithography 50 complex SoC designs 50 nanoribbons 50 antifuse 50 Gallium Arsenide GaAs 50 optical interconnect 50 chip SoCs 50 HKMG 50 wide bandgap 50 silicon chips 50 nano fabrication 50 semiconductor nanocrystals 50 eWLB technology 50 SchmartBoard 50 Kovio 50 Ge substrates 50 miniaturise 50 Gallium Nitride 50 hafnium oxide 50 carbon nanotube CNT 50 CMOS wafer 50 EUV resists 50 Ball Grid Array 50 Solamet ® 50 ion traps 50 3Xnm 49 Cadmium Telluride CdTe 49 copper indium gallium selenide 49 SiGe C 49 dimensional nanostructures 49 InGaN 49 nanometer nm CMOS 49 productization 49 CMOS RF CMOS 49 advanced lithographic node 49 polymer substrates 49 graphene 49 Silicon Via TSV 49 #nm photomask 49 Silicon CMOS Photonics 49 reproducible E. coli 49 dielectrics 49 phosphorescent OLED technology 49 High Voltage CMOS 49 logic NVM 49 quantum dot lasers 49 AMOLEDs 49 TSMC #nm [001] 49 optical interconnects 49 SiGe 49 GAIN HBT 49 packaging WLP 49 semiconducting 49 fpgas 49 nanotube arrays 49 nanofabrication techniques 49 insulator SOI technology 49 photonic crystal 49 transistor HEMT 49 Clear Shape 49 nanodots 49 uniaxial strain 49 nanofabrication 49 silicon nanowire 49 Plasmonic 49 FeRAM 49 DDR3 DRAM 49 nanoparticulate 49 Micromorph 49 bipolar transistors 49 SoC 49 silicon CMOS 49 nanometers 49 CMOS RF 49 nanostructuring 49 SiC substrates 49 iPDKs 49 nanometer nm 49 optical interconnections 49 EUV masks 49 RFMD GaN 49 amorphous silicon Si 49 #nm HKMG 49 copper interconnects 49 Rapid prototyping 49 epitaxial 49 SiC MOSFET 49 WLCSP 49 laterally diffused metal 49 EBDW 49 LDMOS RF power 49 CMOS photonics 49 parametric yield 49 nm SOI 49 SWCNT 49 sputter deposition 49 bismuth telluride 49 1Gbit DDR2 49 transistor circuits 49 silicon transistors 49 Silicon Photonics 49 ElectroPhen 49 Optical Modulator 49 HEMT 49 maskless 49 photomasks 49 FPGA prototyping 49 #nm geometries 49 #nm SRAM 49 nanowire transistors 49 OneChip 49 #nm nodes 49 quasicrystals 49 electron beam lithography 49 lithographic 49 gallium arsenide 49 plasmonic devices 49 lithographic patterning 49 conventional photolithography 49 synthesizable IP 49 Zenasis 49 silicon nanocrystals 49 photonic integrated circuits PICs 49 epiwafers 49 WiCkeD 49 SOI silicon 49 AlGaN 49 flexible monolithically integrated 49 Package SiP 49 TFTs 49 silicon carbide wafers 49 wide bandgap semiconductor 49 nano scale 49 ferroelectrics 49 Flip Chip 49 LCOS 49 #.#um [002] 49 #nm DRAM 49 BGA packaging 49 Quartz DRC 49 embedded nonvolatile memory 49 AMD Fusion APUs 49 RTL synthesis 49 nanoporous 49 Aerosol Jet 49 mm wafers 49 GaN RF 49 MOS transistor 49 lithography simulation 49 nm immersion 48 accurate quantitation 48 GaN substrates 48 ASIC SoC 48 MALDI-TOF/TOF 48 Structured eASIC 48 Cortex M3 core 48 chip optical interconnects 48 DongbuAnam 48 CIS CIGS 48 SiP 48 UltraCMOS 48 QCLs 48 epi wafers 48 Indium Phosphide 48 k gate dielectrics 48 CdSe 48 conductive polymer 48 SPICE accuracy 48 functional prototypes 48 2Gb DDR2 48 #nm FPGAs 48 repeatable measurements 48 purity silicon 48 HV CMOS 48 RF Microwave 48 #nm NAND flash 48 Auria Solar 48 thermal annealing 48 VCSELs 48 backside illumination 48 Cadmium Telluride 48 CdTe PV 48 epitaxial layers 48 scalable repeatable 48 conductive polymers 48 DSSCs 48 nano patterning 48 GaN LEDs 48 microreactors 48 nm geometries 48 hafnium dioxide 48 #nm node [002] 48 CIGSe 48 barium titanate 48 Carbon nanotube 48 Nanostructured materials 48 nano engineered 48 epitaxy HVPE 48 nanophotonic devices 48 Indium Phosphide InP 48 Nova NanoSEM 48 GDSII 48 cadmium telluride CdTe 48 silicon MEMS 48 copper indium diselenide 48 testable 48 optical cloaking 48 processable 48 transistors 48 PowerPro MG 48 efficient Quantum Dot 48 plasmonic 48 CyberDisplay #K 48 nanoscale structures 48 electrically conductive 48 FPGA Supercomputing 48 CIGS 48 RealView SoC Designer 48 ZnO nanowires 48 nanopillar 48 thermally stable 48 X ray microscopy 48 carbon nanotube arrays 48 TSMC #nm LP 48 solar cells 48 hydride vapor phase 48 nanocrystal 48 solution processable 48 design kits PDKs 48 X ray diffraction microscopy 48 OLED displays 48 boundary scan 48 Spintronics 48 reconfigurable computing 48 semiconductor CMOS 48 photonic crystal fibers 48 MEMS resonators 48 ultrapure 48 FinFET 48 SoC Designer 48 LDMOS devices 48 productive nanosystems 48 nanostructured materials 48 PHEMT 48 carbon nanotubes CNT 48 #.#um CMOS process 48 Gallium nitride GaN 48 manufacturability DFM 48 dye sensitized 48 reprogrammability 48 dielectric etch 48 transistor 48 nano electromechanical systems 48 nanodevices 48 Resistive Random Access 48 Gallium Arsenide 48 polycrystalline 48 silicide 48 Actel FPGA 48 LDMOS 48 nanofabricated 48 ReRAM 48 millimeter wave mmWave 48 unclonable 48 PCB layout 48 crystalline semiconductors 48 tunable filters 48 silica spheres 48 SPICE simulator 48 low k dielectric 48 Nanowires 48 nanowires 48 X2 TECHNOLOGY 48 Lightspeed Logic 48 DRIE 48 aluminum nitride 48 RET OPC 48 optical modulators 48 chalcogenide 48 indium phosphide 48 indium gallium arsenide 48 semiconducting material 48 surface functionalization 48 CIGS solar cell 48 backsheet component 48 ITRS roadmap 48 Photonic crystals 48 optical metrology 48 FDTD 47 ARM#EJ S 47 nanotubes nanowires 47 CameraChip 47 electro optic plastics 47 Aprio Technologies Inc. 47 ISL#M 47 CMOS Silicon 47 microelectronic circuits 47 superlens 47 selective emitter 47 hermetic packaging 47 MPSoC 47 GaAs 47 #nm immersion 47 epitaxial deposition 47 FastSPICE 47 virtual prototyping 47 BCDMOS 47 MESFET 47 Z RAM 47 extreme ultra violet 47 stereolithography 47 indium gallium 47 Xilinx FPGA 47 #G DQPSK 47 Tezzaron 47 deep silicon etch 47 inkjet printhead 47 programmable logic 47 Laurent Malier CEO 47 porous silicon 47 sapphire wafers 47 AlGaAs 47 Memristors 47 spiral inductors 47 Stratix II GX 47 Micromorph ® 47 monolithic CMOS 47 magnetic nanoparticle 47 RFICs 47 Cell MLC 47 8bit MCUs 47 miniaturization 47 emissive 47 carbon nanomaterial 47 nm 47 decoding algorithms 47 micro optics 47 nanosystems 47 AlN 47 Cadence Encounter digital 47 InAs 47 K dielectrics 47 indium tin oxide ITO 47 wafer bonder 47 STT RAM 47 silicon PV modules 47 extendibility 47 CMOS ICs 47 metallisation 47 SiWare 47 inorganic nanocrystals 47 FPGAs 47 SD# Pro 47 Stratix III FPGAs 47 InGaP HBT 47 kit PDK 47 wafer bonding 47 nm SRAM 47 FPGA prototypes 47 electrodeposition 47 nanosilicon 47 monocrystalline silicon 47 solder bump 47 MEMS resonator 47 multilayers 47 inch wafers 47 ultrastrong 47 correlative microscopy 47 superlattice 47 PEDOT PSS 47 ZnS 47 insulator wafers 47 nanometers nm 47 RFeICs 47 Gallium Nitride GaN 47 silicon Mach Zehnder 47 ElectriPlast ¿ 47 PMICs 47 Cadence Virtuoso custom 47 NiSi 47 carbon nanotubes 47 structured ASICs 47 ferroelectric 47 semiconducting nanowires 47 package SiP 47 photonic crystals 47 planar CMOS 47 metallization 47 nickel silicide 47 #nm nanometer 47 nanostructured surfaces 47 carbon nanotube interconnects 47 Grätzel cells 47 InGaP 47 semiconductor nanowires 47 indium phosphide InP 47 LTPS TFT 47 metallic interconnects 47 silicon interposer 47 superconductive 47 IGBT Insulated Gate 47 eGaN 47 microelectronic device 47 ASML lithography 47 thermal impedance 47 photonic bandgap 47 customizable dataplane processor 47 e beam DFEB 47 SoCs 47 electrospinning technique 47 MEMS fabrication 47 stretchable electronics 47 OptoCooler 47 Miniaturization 47 Flex OneNAND 47 micro machining 47 dielectric materials 47 #G CFP 47 GDSII flow 47 #.#μ 47 gate dielectric 47 SOI wafers 47 HardCopy II 47 nano cellulose 47 zirconium oxide 47 quantum dots 47 TSMC #.#um 47 Quantum dots 47 TQP# 47 photoresists 47 tapeout 47 5x #x 47 Calibre LFD 47 DDR3 chips 47 fused silica 47 mmWave 47 intermetallic 47 DrMOS 47 nanofactory 46 PZT 46 nanosprings 46 nanoelectromechanical systems 46 macroscale 46 differentiable 46 circuit MMIC 46 x ray optics 46 UV NIL 46 #nm VCSEL [001] 46 nanoscale patterning 46 nitrogen doped 46 nanochannel 46 CNT FED 46 PCI Express PHY 46 InGaAs 46 mobileFPGA devices 46 irreversible electroporation IRE 46 QFN packages 46 nano fluidic 46 silicon oxynitride 46 GaN transistor 46 THz radiation 46 outcoupling 46 ion implant 46 OLEDs 46 CIGS solar 46 ARC configurable processor 46 terahertz 46 etch deposition 46 insulator substrate 46 AMS RF 46 Spintronic devices 46 GaAs MESFET 46 EUV mask 46 Synopsys TCAD 46 superlenses 46 di selenide CIGS 46 silicon oxide 46 Reference Methodology 46 thermally efficient 46 VeloceRF 46 density interconnect HDI 46 micromachined 46 OTFTs 46 Novaled PIN OLED 46 graphene layers 46 Oxide Silicon 46 BIST 46 silicon oscillators 46 equivalence checking 46 chip SoC designs 46 LiNbO3 46 planarization 46 nanostructured 46 fused quartz 46 IBIS AMI models 46 optical microscopy 46 transparent conductive 46 opto electronic 46 Solarmer 46 nanogenerator 46 nanoarrays 46 rapid prototyping 46 CIGS module 46 Memory Controllers 46 #nm 1Gb 46 deep ultraviolet DUV 46 clockless 46 LSIs 46 photonic 46 NANOIDENT 46 nanocrystals 46 nanoscale dimensions 46 macroporous 46 mask optimization SMO 46 LTPS 46 microbatteries 46 powerline modem 46 #.#u 46 PEDOT 46 CFD simulations 46 PolyMax 46 Si substrates 46 CMOS circuits 46 solder bumping 46 GX FPGAs 46 Elpida #nm 46 terahertz waves 46 multiscale 46 nanostructured silicon 46 Insulator SOI 46 millimeter wafers 46 embedded EEPROM 46 Ion implantation 46 eDRAM 46 gallium selenide 46 poly silicon 46 SEMATECH 46 Cortex M0 processor 46 photovoltaics PV 46 high-k/metal gate HKMG 46 On Insulator SOI 46 dopant 46 geometries 46 indium gallium nitride InGaN 46 Richard Brilla CNSE 46 amorphous silicon 46 Mixed Signal IC 46 copper indium gallium selenium 46 nanocrystalline 46 mask aligner 46 Complementary Metal Oxide Semiconductor 46 LTE UE 46 flexible OLED displays 46 SOI substrates 46 #nm LPe process 46 Actel FPGAs 46 results QoR 46 mirasol displays 46 FineSim Pro 46 SiliconBlue 46 SRAM DRAM 46 PolyJet 46 eWLB 46 HBLED 46 planar transistors 46 TetraMAX ATPG 46 nano crystalline 46 computational simulations 46 MEMS sensor 46 nm SoC 46 2G HTS wire 46 Magma Talus 46 MirrorBit Quad 46 BEOL 46 antimonide 46 superconducting materials 46 UWB chipsets 46 GaN HEMTs 46 diameter wafers 46 Efficeon TM# 46 #nm SoC 46 MEMS oscillator 46 SiGen 46 brightness light emitting 46 CIGS PV 46 HyperSolar concentrator 46 respins 46 asynchronous SRAM 46 SiC Schottky diodes 46 nonpolar GaN 46 DDR PHY 46 Titanate 46 ultrasmall 46 nMOS 46 Cadence Encounter Digital 46 XT #i 46 micromorph 46 Indium phosphide 46 Kilopass XPM 46 MoSys IP 46 VarioTAP ® 46 functionalize 46 rollable displays 46 photon detection 46 electrochemical capacitors 46 electrowetting displays 46 micromechanical 46 eutectic 46 flexible CIGS 46 EVG# 46 nanometer integrated circuits 46 crystallinity 46 backplanes 46 epitaxial graphene 46 Fourkas 46 silicon etch 46 silicon oxynitride SiON 46 MEMS NEMS 46 embedded SRAM 46 #nm MLC 46 serdes 46 ATopTech 46 shrinking geometries 46 STB# [002] 46 analog circuitry 46 XtremeDSP 46 bioelectronic 46 oxide thickness EOT 46 transparent electrodes 46 bandgap 46 microfluidic 46 HardCopy ASIC 46 oxide thickness 46 multiplexed assays 46 breakdown voltages 46 thermal dissipation 46 quantum computers 46 nano photonic 46 Precision Synthesis 46 Wafer Level Packaging 46 embedded passives 46 GLOBALFOUNDRIES #nm 46 thinned wafers 46 RF Power Amplifiers 46 reactive ion 46 atomically precise manufacturing 46 nanometer transistors 46 Sequans SQN# 46 RFIC design 46 PRoC LP 46 JFET 45 conductive ink 45 photon counting 45 nano tubes 45 nanotubes 45 gallium arsenide cells 45 MMICs 45 F3D 45 SolarWindow 45 correction OPC 45 capacitive touch sensor 45 indium tin oxide 45 TDA# [002] 45 TestKompress 45 heterogeneous catalysis 45 PSoC architecture 45 superconducting wires 45 Test DFT 45 XFP module 45 MIPS Verified 45 crystalline silicon 45 lowest levelized 45 FETs 45 nonvolatile static random 45 planar 45 concluded Reichental 45 metal oxide 45 NuFlare 45 tuner IC 45 metalorganic chemical vapor deposition 45 electrically conducting 45 GaN HEMT 45 druggable 45 superconducting 45 Quad NROM 45 di selenide 45 fuel cells SOFCs 45 OLEDS 45 Smart Nanobattery 45 nanocomposites 45 AccelArray 45 multicore architecture 45 3D TSVs 45 SOC designs 45 Stratix IV GX 45 temperature superconducting 45 repeatable processes 45 multicrystalline 45 CellMath IP 45 PCMOS 45 biosensing 45 block copolymers 45 tunable 45 spintronic 45 Angstron 45 InGaN LEDs 45 opto electrical 45 #nm Process 45 performant 45 MB#K# 45 topological insulators 45 focused ion beam 45 nanofilms 45 c Si 45 IDMs 45 JEDEC LPDDR2 45 FDSOI 45 Imprio 45 metamaterials 45 commercially viable 45 catalytic reactions 45 Affinity Biosensors 45 semiconductive 45 rollable display 45 microbolometers 45 CIGS photovoltaic 45 photovoltaic backsheets 45 Chip Scale 45 Unigene patented manufacturing 45 lithographic processes 45 micromirror 45 SOI substrate 45 invisibility cloaking 45 Si substrate 45 angstrom 45 HardCopy 45 SiliconSmart ACE 45 copper indium gallium diselenide 45 supercapacitors 45 gigabit Gb 45 effect transistors FETs 45 Silicon photonics 45 #nm fabrication 45 nanometer sized 45 Chemical Vapor Deposition CVD 45 calcium fluoride 45 fully synthesizable 45 micromechanical devices 45 cell MLC NAND 45 multiplexed assay 45 Virtex 5 45 PHOLED technology