nanometer

Related by string. Nanometer * * nanometer scale . nanometer nm . nanometer circuitry . nanometer chips . nanometer sized . nanometer node . nanometer silicon . nanometer CMOS . nanometer wavelength . nanometer nm enabling . #nm nanometer . nanometer CMOS process . nanometer billionth . nanometers nanometer . #/#-nanometer . nanometer spatial . nanometer nm CMOS . nanometer Penryn *

Related by context. All words. (Click for frequent words.) 82 #nm [001] 77 nanometer nm 76 nm 72 nanometers 69 micron 69 nanometer chips 68 #nm fabrication 67 #nm CMOS [001] 67 nm nodes 67 #.#μm [002] 67 nm CMOS 67 #nm SOI 66 #nm node [001] 66 mm wafers 66 #nm silicon 66 nanometer lithography 66 nanometer node 65 #nm chips 65 mm wafer 65 .# micron 65 inch wafers 65 silicon 65 transistor 65 #.# micron CMOS 64 nanometers nm 64 #mm wafers 64 nanometer silicon 64 millimeter silicon wafers 63 high-k/metal gate 63 nm node 63 nanometer scale 63 #nm DRAM 63 FinFET 62 #nm nodes 62 CMOS 62 millimeter wafers 62 #/#nm 62 #.# micron node 62 Nanometer 62 #.#um [002] 62 nm SOI 62 CMOS transistors 62 nanometer CMOS 62 TSMC #nm process 61 copper interconnects 61 nm lithography 61 smaller geometries 61 #nm RF CMOS 61 nm CMOS process 61 millimeter wafer 61 #nm transistors 61 transistors 61 #nm #nm [005] 61 #.#μ 61 nm SRAM 61 BCDMOS 60 #nm processors 60 photolithography 60 TSMC #nm [001] 60 nanometer circuitry 60 silicon chips 60 DongbuAnam 60 #nm/#nm 60 #mm wafer 60 BiCMOS 60 #nm [002] 60 #nm node [002] 60 k dielectric 60 nm DRAM 60 DDR2 DRAM 60 silicon wafer 59 immersion lithography 59 gigabit NAND flash 59 EUV lithography 59 silicon germanium 59 DDR3 DRAM 59 #nm NAND flash 59 MOS transistors 59 gigabit Gb NAND flash 59 silicon germanium SiGe 59 Efficeon 59 photolithographic 59 SOI wafers 59 #nm lithography [002] 59 tapeouts 58 DDR3 chips 58 nanometer transistors 58 nm geometries 58 XDR DRAM 58 wafer thickness 58 lithography 58 3Xnm 58 SOI CMOS 58 mm fab 58 angstrom 58 #.#μm CMOS process 58 Mbit SRAMs 58 gallium nitride GaN 57 geometries shrink 57 Elpida #nm 57 nanometer NAND flash 57 CMOS wafer 57 HKMG 57 ITRS roadmap 57 FinFETs 57 Nehalem chips 57 ArF immersion lithography 57 defect densities 57 #nm nanometer 57 glass substrates 57 deep submicron 57 silicon photonics 57 fabs 57 #mm fab 57 submicron 57 #nm fab 57 inch wafer fabs 57 silicon wafers 57 #nm CMOS [002] 57 nano imprint 57 gigabit Gb 57 epitaxy 57 Penryn chips 57 #mm silicon wafer 57 graphene transistors 57 #nm 8GB 57 nm Penryn 57 transistor leakage 57 HKMG technology 56 UMC #nm 56 insulator SOI 56 MOS transistor 56 indium phosphide 56 Gb NAND 56 CMOS compatible 56 mm fabs 56 Penryn processors 56 SoC 56 #.#u 56 electron mobility 56 nickel silicide 56 hydrogen atoms strung 56 carbon nanotube 56 #nm #nm #nm 56 #mm fabs 56 deep submicron CMOS 56 RF CMOS 56 Flex OneNAND 56 FDSOI 56 Tolapai 56 micron wafers 56 Opteron EE 56 photonic crystal 56 gate dielectrics 56 nm FPGAs 56 CMOS logic 56 TSVs 56 optical lithography 56 CMOS processes 56 RFCMOS 56 Fab #X 56 #nm SoC 56 4Gb DDR3 56 k gate dielectric 56 Silicon Germanium 56 #nm Buried Wordline 56 #nm wafers 56 UltraCMOS 56 hafnium oxide 56 DDR2 55 #Gb NAND flash 55 picosecond 55 SiON 55 #Gbit [001] 55 sub Angstrom 55 2Xnm 55 SiGe 55 optical waveguides 55 nanometer microprocessors 55 IMFT 55 EUV 55 nanometer NAND 55 billionths 55 low k dielectrics 55 Penryn processor 55 core Gulftown 55 eDRAM 55 #LP [002] 55 TSMC Hsinchu Taiwan 55 silicon transistors 55 2Gbit 55 semiconductor 55 mm silicon wafers 55 through silicon vias 55 #nm MLC 55 #/#-nanometer 55 nanolithography 55 nanoelectronic 55 wafers 55 high voltage BCDMOS 55 ARM#EJ processor 55 computational lithography 55 wafer 55 nanometer nm NAND flash 55 e beam lithography 55 TSMC 55 Gb DDR3 55 photodetectors 55 silicon CMOS 55 #nm MirrorBit 55 hafnium 55 mm wafer fab 55 RV# GPU 55 nanoscale 55 micrometer 55 #.#um [001] 55 #mm silicon wafers 55 nm FPGA 55 nm immersion 55 GaN HEMT 55 Westmere architecture 55 NOR Flash memory 55 Dothan Pentium M 55 microprocessors 55 Mbit MRAM 54 wafer fabs 54 #nm photomask 54 glass substrate 54 #nm microprocessors 54 WLCSP 54 RRAM 54 Buried Wordline technology 54 Oxide Silicon 54 LCOS 54 insulator wafers 54 CMOS IC 54 pHEMT 54 deep sub micron 54 Westmere processors 54 HEMT 54 Itanium processors 54 linewidths 54 Vsby 1 54 6T SRAM 54 nm immersion lithography 54 VCSEL 54 Core microarchitecture 54 FeRAM 54 CMOS fabrication 54 5V CMOS 54 imprint lithography 54 #nm geometries 54 GDDR4 54 multichip 54 #nm Nehalem 54 Cortex A9 processor 54 nanoimprinting 54 #nm FPGA 54 LPDDR2 DRAM 54 package SiP 54 multijunction solar cells 54 Mbit 54 Imprio 54 angstroms 54 GT# GPU 54 #nm CPUs 54 #nm immersion lithography 54 FB DIMM 54 CMOS silicon 54 Xeon processor 54 crystalline silicon c 54 insulator SOI technology 54 InGaP HBT 54 #nm NAND Flash 54 #nm lithography [001] 54 copper metallization 54 k dielectrics 54 chipmaking 54 Insulator SOI 54 GaAs 54 Gbit NAND flash 54 low k dielectric 54 Silverthorne processor 54 LDMOS RF power 54 Westmere EP 53 embedded SRAM 53 uniaxial strain 53 transistor HEMT 53 high-k/metal-gate 53 #nm SRAM 53 SiP 53 Gbit 53 Strained silicon 53 lithographic 53 Pentium processors 53 gate electrode 53 chip SoC 53 StrataFlash 53 fab utilization 53 NAND flash 53 #nm Westmere 53 Cray X1 53 nanomechanical 53 Photolithography 53 gate dielectric 53 nanometric 53 Efficeon TM# processor 53 photomask 53 chip SoCs 53 MirrorBit Quad 53 finFETs 53 A9 processor 53 AlGaN GaN 53 dual core 53 millimeter wafer fabrication 53 embedded DRAM 53 MRAMs 53 nanometer nm CMOS 53 Nehalem processor 53 #mm ² [002] 53 perpendicular recording 53 #nm Penryn 53 millimeter mm 53 IGP chipset 53 dual damascene 53 Silicon Oxide Nitride 53 Cortex A9 53 sub micron 53 nm NAND 53 #Mbit DDR2 53 gallium arsenide 53 #MSPS 53 5μm 53 Pentium M processors 53 InP 53 silicon Mach Zehnder 53 microfabrication 53 extreme ultraviolet lithography 53 VCSELs 53 SiGe BiCMOS 53 codenamed Silverthorne 53 3D TSV 53 sq. mm 53 quad core 53 crystalline Si 53 fully synthesizable 53 high-k/metal gate HKMG 53 BiFET 53 #.#μm CMOS 53 #nm HKMG 53 diameter wafers 53 MRAM chips 53 Bulldozer architecture 53 silicon substrates 53 UltraSparc IV 53 nano scale 53 #nm NAND 53 Opteron processors 53 chip SoC designs 53 quad core microprocessors 53 multicore 53 DDRII 53 TFTs 53 DDR PHY 53 wafer fabrication 52 quantum dot lasers 52 pMOS 52 Cortex M0 processor 52 ion traps 52 CIGS cells 52 QorIQ processors 52 #.#um CMOS 52 multicore multithreaded 52 inch wafer fab 52 SiGe bipolar 52 CMP consumables 52 quad core chip 52 nanotube transistor 52 JFET 52 bipolar transistors 52 maskless lithography 52 QMEMS 52 #μm [001] 52 micron pixel 52 deep ultraviolet DUV 52 photonic devices 52 LDMOS 52 Transmeta Efficeon processor 52 optical interconnects 52 GaAs pHEMT 52 Intel Nehalem microarchitecture 52 μm 52 GDDR5 52 LSISAS# 52 LTPS 52 photomasks 52 millimeter silicon wafer 52 Xeon ® 52 EUV masks 52 quad core microprocessor 52 #nm 2Gb 52 vertical cavity 52 CMOS complementary 52 #Mbit [002] 52 ultrasmall 52 nanowire 52 Prescott Pentium 52 silicon foundries 52 RF LDMOS 52 wirebond 52 Krewell 52 SiWare 52 RV# chip 52 microns 52 dielectric etch 52 EUV resists 52 micrometre 52 nanometer sized 52 Mobile ITX 52 multiprocessor 52 Gb NAND flash 52 core Nehalem EX 52 Core i7 #UM 52 #nm FPGAs 52 quad cores 52 micrometer sized 52 ARM#EJ S processor 52 CMOS oscillators 52 1Gbit DDR2 52 moviNAND 52 #mm# [003] 52 Memory DRAM 52 silicon dioxide 52 planar transistors 52 TSMC Reference Flow 52 nm NAND flash 52 quantum dot 52 Clovertown 52 silicon nanowire 52 TSMC #.#um 52 photonic 52 carbon nanotubes 52 insulator substrate 52 gallium nitride 52 Atom processors 52 quad core Xeon 52 polycrystalline 52 bandgap 52 hydride vapor phase 52 On Insulator SOI 52 clockless 52 planar CMOS 52 Intel Core2 Quad 52 SiGe C 52 PHEMT 52 ion beam 52 EUV mask 52 nitride semiconductor 52 GF# GPU 52 inch fabs 52 nm wavelengths 52 DFM DFY 52 nanomagnets 52 GaN transistors 52 optical microscopy 52 epitaxial wafers 52 #,# wspm 52 indium phosphide InP 52 #.#μm [001] 52 k gate dielectrics 52 SRAMs 52 FineSim Pro 52 Fermi GPU 52 silicon photonic 52 #.#nm [002] 51 Raman lasers 51 multicore processor 51 phototransistors 51 threshold voltages 51 Itanium processor 51 2μm 51 semiconducting material 51 manufacturable 51 semiconductor wafers 51 metallic interconnects 51 Gallium Nitride 51 microprocessor cores 51 #nm 1Gb 51 tunable laser 51 SMIC #.#um 51 processor cores 51 multicore CPUs 51 catoms 51 film transistor TFT 51 macromolecular structures 51 MirrorBit technology 51 mm ² 51 SoCs 51 nanometers nanometer 51 CMOS circuits 51 MEMS oscillator 51 InSb 51 #mm fabrication 51 Itanium chip 51 laterally diffused metal 51 DDR3 51 Sparc# V 51 EUVL 51 MetaSDRAM 51 Scanning Tunneling Microscope 51 optical modulator 51 multilayers 51 ultrathin 51 superlattice 51 oxide thickness 51 #nm quad core 51 SiC 51 wafer foundries 51 nanodots 51 quad core CPUs 51 #nm immersion 51 photonic integrated circuits PICs 51 atomically precise 51 SiC substrates 51 Megabit Mb 51 wafer bumping 51 gigabit GDDR5 51 Strained Silicon 51 Opteron 51 Stratix II 51 monolithic microwave integrated 51 CIGS 51 LPDDR2 51 SAR ADC 51 Quad Core Opteron 51 Efficeon processors 51 Opteron chips 51 lithographic techniques 51 SiTime 51 nano imprint lithography 51 GDDR 51 micromachined 51 x# processor 51 dual core Opterons 51 #Gbps transceivers 51 amorphous silicon Si 51 photonic integrated circuits 51 codenamed Nehalem 51 nanoribbons 51 High Voltage CMOS 51 Cell processor 51 semiconductor fabrication 51 nanometers billionths 51 Power5 51 Reference Flow 51 processing cores 51 #μm thick [002] 51 Nehalem micro 51 optofluidic microscope 51 Z RAM 51 DDR4 51 SOI silicon 51 UltraSparc III 51 D1X 51 ARM#EJ S 51 Tesla GPUs 51 Arrandale processors 51 Encounter RTL Compiler 51 microprocessor 51 Merom chips 51 lithographic processes 51 SOI substrates 51 HfSiON 51 Epitaxial 51 PCMOS 51 photoemission 51 selective emitter 51 Sparc# processor 51 #Gbps Ethernet switch 51 #mm ² [001] 51 quad core processors 51 memristor 51 Xeon LV 51 ultraviolet lasers 51 monocrystalline silicon 51 amorphous silicon 51 Gbit DDR3 51 TFT LCD module 51 dielectrics 51 monolithically integrated 51 FPGAs 51 #GB moviNAND 51 semiconductor foundry 51 EBDW 51 Banias Pentium M 51 Silego 51 ASIC SoC 51 1GHz 51 nanomesh 51 fpgas 51 Pentium Extreme Edition 51 photonic bandgap 51 Opterons 51 Gulftown 51 photodetector 51 #μm [002] 51 1μm 51 TFPV 51 mm wafer fabrication 51 optical waveguide 51 nanofabrication techniques 51 gigabit NAND 51 geometries 51 Structured ASICs 51 Efficeon TM# 51 spintronic devices 51 PROLITH 51 GLOBALFOUNDRIES 51 MLC NAND 51 silicon oxynitride 51 Semiconductors ITRS 51 structured ASIC 51 epitaxial deposition 51 Micron Boise Idaho 51 #.#GHz quad core [001] 51 manufacturability DFM 51 5nm 51 Transistor 51 Efficeon processor 51 NOR flash 50 nanoimprint 50 UMC #.#um 50 Montecito Itanium 50 Socket AM3 50 InGaN 50 silicon micromachining 50 NAND memory 50 #nm MLC NAND 50 subwavelength 50 NOR flash memory 50 density NAND flash 50 1T SRAM memory 50 MEMS 50 Soitec produces 50 epiwafers 50 Phenom processors 50 nanotube 50 GaN wafers 50 Cortex A9 processors 50 LED backlights 50 Pentium 4s 50 ZnSe 50 Itaniums 50 LongRun2 50 silicon waveguide 50 nano 50 voltage CMOS 50 gigabit DDR3 SDRAM 50 nanoscopic 50 #/#-nm 50 quad core processor 50 silicon Si 50 areal density 50 micron diameter 50 engineered substrates 50 K dielectrics 50 indium gallium arsenide InGaAs 50 Core Duo chips 50 memory compilers 50 transistor scaling 50 nanometer nm node 50 semiconductor wafer 50 CMOS wafers 50 microbolometers 50 Synopsys IC Compiler 50 oxide thickness EOT 50 electron beam lithography 50 projected capacitive touch 50 quantum dots 50 semiconductor lithography 50 SoC designs 50 #Gbit NAND flash 50 Nehalem microarchitecture 50 RISC architecture 50 chalcogenide 50 silicon oxynitride SiON 50 #GB RDIMM 50 GPU cores 50 perpendicular magnetic recording 50 Clear Shape 50 lithographic patterning 50 sapphire SOS 50 x# cores 50 ferroelectric 50 Poulsbo chipset 50 micron thick 50 dielectric constant 50 Atom CPUs 50 nm MirrorBit 50 C#x [001] 50 Intermolecular 50 baseband chip 50 Silicon CMOS Photonics 50 silicide 50 Merom processors 50 silicon modulators 50 nitride 50 BEOL 50 indium gallium phosphide InGaP 50 GaAs substrates 50 Dongbu HiTek 50 Xeon chips 50 wafer dicing 50 nm wavelength 50 multicore architecture 50 Virtex 5 50 PWRficient 50 Xeon MP 50 HEMTs 50 NAND Flash memory 50 transistor SRAM 50 MetaRAM 50 integrated circuits 50 Transistors 50 i.MX# processor [002] 50 resonators 50 AlN 50 AMD Phenom processors 50 line BEOL 50 MIPS processor 50 indium arsenide 50 Novellus SABRE 50 Powerful debug 50 silicon interposer 50 ultralow voltage 50 NAND 50 MT#V# 50 Power4 + 50 nanoimprint lithography 50 Atom chips 50 CPUs 50 multithreaded processors 50 Xeon DP 50 XDR memory 50 amorphous alloy transformer 50 Nvidia Fermi 50 #nm #Gb 50 #.#mm# [001] 50 1Gb DDR2 50 RLDRAM 50 LSIs 50 Gargini 50 Radeon #XT 50 #Gb MLC NAND 50 antimonide 50 sSOI 50 photon detection 50 DDR3 modules 50 class #Gb NAND 50 Loongson 50 Power4 50 chip 50 Complementary Metal Oxide Semiconductor 50 nMOS 50 transistor circuits 50 Microdisplay 50 bit RISC processor 50 quad core Opteron 50 Phenom IIs 50 Cadence Encounter RTL Compiler 50 QuantumFilm 50 transistor pHEMT 50 Quartz DRC 50 Gallium arsenide 50 XFP module 50 semiconducting 50 planar 50 4Gbit 50 nvSRAM 50 chipset 50 processor codenamed 50 epi wafers 50 SiliconSmart ACE 50 CdTe Si 50 Rapid prototyping 50 superlens 50 PowerPro MG 50 electrodeposition 50 silicon germanium SiGe BiCMOS 50 digital converter ADC 50 GDSII 50 motherglass 50 monodisperse 50 memristors 50 Schottky 50 Atom chip 50 chipsets 50 MAPPER 50 metal oxide 50 DrMOS 50 Intel Clovertown 50 InGaAs 50 Quartz LVS 50 MLC NAND Flash 50 AMD Fusion APUs 50 micrometers thick 50 nanocrystal 50 POWER5 + 50 Opteron processor 50 Angstron 50 diffraction limit 50 CdTe solar 50 MTCMOS 50 mm wafer fabs 50 Cortex A# 50 IBM Power4 50 ASICs 50 RISC processor 50 Fast Fourier Transform FFT 49 mechanical polishing CMP 49 silicon carbide SiC 49 eWLB technology 49 #nm CPU 49 Westmere processor 49 indium gallium nitride 49 #.#GHz Xeon [002] 49 multichip package 49 nanometers thick 49 photonic circuits 49 optical microscopes 49 structured ASICs 49 Netburst 49 PowerPC processor 49 optical tweezers 49 Fujitsu Sparc# 49 nanodevice 49 semiconductors 49 Double Patterning 49 epiwafer 49 micromirror 49 Nanochip 49 STT RAM 49 multichip module 49 epitaxial layers 49 microcavity 49 nano patterning 49 asynchronous SRAM 49 Calpella platform 49 Mbit densities 49 SDRAMs 49 Inotera 49 #.#GHz Pentium 4 [001] 49 IXP# [002] 49 bipolar transistor 49 4Gb NAND flash 49 AMD Fusion APU 49 micrometer thick 49 graphene nanoribbons 49 ICs 49 hyper threaded 49 K8L 49 metal gate HKMG 49 reconfigurable computing 49 avalanche photodetector 49 extreme ultra violet 49 Pentium M notebooks 49 serdes 49 ARM CPU 49 CIGS solar cell 49 Fab# 49 photorefractive polymer 49 GLOBALFOUNDRIES #nm 49 SiC wafers 49 OneChip 49 Core Microarchitecture 49 strontium titanate 49 Intel Nehalem processor 49 Semprons 49 hafnium dioxide 49 digital converters ADCs 49 Design Compiler topographical 49 SOC designs 49 semiconducting nanowires 49 Itanium chips 49 Stream Processors 49 nm SoC 49 MLC NAND flash 49 electron optics 49 design kits PDKs 49 Zenasis 49 Opteron HE 49 Bipolar CMOS DMOS 49 #Msps [001] 49 EcoRAM 49 graphene 49 #mm wafer fab 49 silicon substrate 49 capacitive touch panels 49 integrated circuits IC 49 vanadium dioxide 49 nanoscale dimensions 49 Cortex A9 MPCore 49 G3MX 49 #nm Process 49 solder bumping 49 microbolometer 49 Diamond Standard #Micro 49 CMOS MEMS 49 SOI wafer 49 AccelArray 49 GX FPGAs 49 Quad NROM 49 Stratix II GX 49 frequency comb 49 NYSE UMC TSE 49 Intel Core microarchitecture 49 nanopatterning 49 IOP# 49 TMS#DM# [001] 49 microarchitecture 49 DRAM 49 fiber optic transceivers 49 ReRAM 49 Pentium M chips 49 III nitride 49 Fujitsu Microelectronics 49 nanocomposite material 49 Sempron processors 49 shader processors 49 oxide semiconductor 49 TMS#C# DSP 49 Phenom II processor 49 carbon nanotube transistors 49 logic CMOS 49 Nehalem architecture 49 Menlow platform 49 AMOLEDs 49 microelectromechanical systems MEMS 49 MSC# [001] 49 ARM7TDMI S 49 germanium 49 #mm wafer fabrication 49 QuickPath interconnect 49 core Xeon processor 49 #nm LPe process 49 micro machining 49 manganite 49 aluminum nitride 49 logic LSIs 49 nanofilm 49 chip variation OCV 49 cathode materials 49 picosecond lasers 49 circuitry widths 49 DAC# 49 8GHz 49 emitting lasers 49 gate transistors 49 micromachining 49 Montevina processor 49 Carbon nanotube 49 Tezzaron 49 Ultra Low Voltage 49 Merom processor 49 Cell MLC 49 1MB cache 49 HyperThreading 49 Altera Stratix III 49 Hafnium 49 OLED displays 49 OptoPHY 49 PowerPC G5 49 epitaxial 49 2Gb DDR2 49 DDR DRAM 49 Silicon Photonics 49 Xeon E3 49 multicore processors 49 ferroelectrics 49 Intel #P chipset [001] 49 WiMAX chipset 49 Stratix III 49 Fruehe 49 synthesizable cores 49 Nehalem CPU 49 cell MLC NAND 49 wirebonding 49 C#x + DSP 49 1nm 49 mmWave 49 Bose Einstein condensates 49 NAND Flash 49 inch wafer fabrication 49 TM# processor 49 Star RCXT 49 MHz PowerPC 49 AMOLED panels 49 Vertical Cavity Surface Emitting 49 picometer

Back to home page